KR20210093137A - Euv 포토 마스크 및 그 제조 방법 - Google Patents

Euv 포토 마스크 및 그 제조 방법 Download PDF

Info

Publication number
KR20210093137A
KR20210093137A KR1020200052846A KR20200052846A KR20210093137A KR 20210093137 A KR20210093137 A KR 20210093137A KR 1020200052846 A KR1020200052846 A KR 1020200052846A KR 20200052846 A KR20200052846 A KR 20200052846A KR 20210093137 A KR20210093137 A KR 20210093137A
Authority
KR
South Korea
Prior art keywords
layer
absorber
reflective
mask
crn
Prior art date
Application number
KR1020200052846A
Other languages
English (en)
Other versions
KR102359753B1 (ko
Inventor
페이-쳉 수
칭-황 첸
헝-이 차이
밍-웨이 첸
타-쳉 리엔
신-창 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210093137A publication Critical patent/KR20210093137A/ko
Application granted granted Critical
Publication of KR102359753B1 publication Critical patent/KR102359753B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

반사 마스크는 기판과, 기판 상에 배치된 반사 다층과, 반사 다층 상에 배치된 캐핑층과, 캐핑층 상에 배치된 흡수체층을 포함한다. 상기 흡수체층은 제1 Cr계 층과 상기 제1 Cr계 층과는 상이한 제2 Cr계 층의 하나 이상의 교번 쌍을 포함한다.

Description

EUV 포토 마스크 및 그 제조 방법{EUV PHOTO MASKS AND MANUFACTURING METHOD THEREOF}
포토리소그래피 작업은 반도체 제조 공정 중의 주요 작업 중 하나이다. 포토리소그래피 기술은 자외선 리소그래피, 심자외선 리소그래피, 및 극자외선 리소그래피(EUVL)를 포함한다. 포토 마스크는 포토리소그래피 작업에서 중요한 컴포넌트이다. 고반사부와 고흡수부를 가진 고콘트라스트를 지닌 EUV 포토 마스크를 제조하는 것이 결정적이다.
본 개시내용은 첨부 도면을 참조한 이하의 상세한 설명으로부터 가장 잘 이해된다. 해당 산업계의 표준적 기법에 따라, 다양한 피처들이 비율에 따라 도시되지 않으며, 예시적인 목적으로만 이용됨을 강조한다. 사실상, 다양한 피처의 치수는 설명의 편의상 임의대로 확대 또는 축소될 수 있다.
도 1은 본 개시내용의 일 실시형태에 따른 EUV 포토 마스크 블랭크를 보여준다.
도 2a, 도 2b, 도 2c, 도 2d, 도 2e, 도 2f 및 도 2g는 본 개시내용의 일 실시형태에 따른 EUV 포토 마스크를 제조하는 방법을 개략적으로 도시한다.
도 3a, 도 3b, 도 3c, 및 도 3d는 본 개시내용의 일 실시형태에 따른 EUV 포토 마스크의 단면도를 보여준다.
도 4a, 도 4b, 도 4c, 도 4d, 도 4e, 도 4f 및 도 4g는 본 개시내용의 일 실시형태에 따른 EUV 포토 마스크의 순차적 제조 작업을 보여준다.
도 5a, 도 5b, 도 5c, 도 5d, 도 5e, 도 5f 및 도 5g는 본 개시내용의 일 실시형태에 따른 EUV 포토 마스크의 순차적 제조 작업을 보여준다.
도 6a, 도 6b, 및 도 6c는 본 개시내용의 일 실시형태에 따른 흡수체층의 다층 구조의 단면도를 보여준다.
도 7은 다양한 흡수체 구조의 반사율의 시뮬레이션 결과를 보여준다.
도 8a는 반도체 디바이스 제조 방법의 흐름도를 도시하고, 도 8b, 도 8c, 도 8d, 및 도 8e는 본 개시내용의 실시형태에 따른 반도체 디바이스 제조 방법의 순차적 제조 작업을 보여준다.
이하의 개시내용에서는 본 발명의 상이한 특징을 구현하기 위해 다수의 상이한 실시형태 또는 실시예를 제공한다. 본 개시내용을 단순화하기 위해 구성요소 및 장치의 특정 실시형태 또는 실시예에 대해 후술한다. 물론 이들은 예시일 뿐이며, 한정되는 것을 목적으로 하지 않는다. 예를 들어, 엘리먼트들의 치수는 개시하는 범위 또는 수치에 한정되지 않지만, 디바이스의 공정 조건 및/또는 바람직한 특성에 종속될 수 있다. 또한, 이어지는 설명에 있어서 제2 피처 위(over) 또는 상(on)의 제1 피처의 형성은 제1 및 제2 피처가 직접 접촉으로 형성되는 실시형태를 포함할 수 있고, 또한 제1 및 제2 피처가 직접 접촉하지 않도록 제1 및 제2 피처 사이에 추가 피처가 형성될 수 있는 실시형태도 또한 포함할 수 있다. 단순화와 명확화를 위해 다양한 피처가 상이한 스케일로 임의대로 도시될 수 있다.
또한, "아래(beneath)", "밑(below)", "하위(lower)", "위(above)", "상위(upper)" 등의 공간 관련 용어는 도면에 나타내는 바와 같이 한 엘리먼트 또는 피처와 다른 엘리먼트 또는 피처와의 관계를 설명함에 있어서 설명의 용이성을 위해 본 명세서에 사용될 수 있다. 공간 관련 용어는 도면에 나타내는 방향 외에, 사용 또는 동작 시의 디바이스의 상이한 방향도 포함하는 것을 의도한다. 디바이스는 다른 식으로 지향(90도 또는 다른 방향으로 회전)될 수 있으며 본 명세서에 사용한 공간 관련 기술자(descriptor)는 그에 따라 마찬가지로 해석될 수 있다. 또한, "~로 제조되는(made of)"이란 기재는 "포함하는(comprising)" 또는 "~로 구성되는(consisting of)" 중 하나를 의미할 수 있다. 본 개시내용에 있어서, "A, B, 및 C 중 하나"라는 기재는 "A, B, 및/또는 C"(A, B, C, A와 B, A와 C, B와 C, 또는 A, B 및 C)를 의미하고, 달리 기재하는 않는다면, A로부터의 하나의 엘리먼트, B로부터의 하나의 엘리먼트 및 C로부터의 하나의 엘리먼트를 의미하지는 않는다.
본 개시내용의 실시형태는 EUV 포토 마스크를 제조하는 방법을 제공한다. 더 자세하게는, 본 개시내용은 EUV 포토 마스크의 배면 전도성층에 대한 손상을 방지 또는 억제하기 위한 기술을 제공한다.
EUV 리소그래피(EUVL)는 약 1 nm 내지 약 100 nm의 파장, 예컨대 13.5 nm 파장을 가진 극자외선(EUV) 영역의 광을 사용하는 스캐너를 채택한다. 이 마스크는 EUVL 시스템의 결정적인 컴포넌트이다. 광학 재료가 EUV 방사선에 대해 투명하지 않기 때문에, EUV 포토 마스크는 반사 마스크이다. 회로 패턴은 반사 구조 위에 배치된 흡수체층에 형성된다. 흡수체는 예컨대 3-5% 미만의 낮은 EUV 반사율을 갖는다.
본 개시내용은 저반사율(고흡수율)의 흡수체 구조를 구비한 EUV 반사 포토 마스크를 제공한다.
도 1은 본 개시내용의 일 실시형태에 따른 EUV 반사 포토 마스크 블랭크를 보여준다. 일부 실시형태에서, 회로 패턴을 가진 EUV 포토 마스크는 EUV 포토 마스크 블랭크(5)로부터 형성된다. EUV 포토 마스크 블랭크(5)는 기판(10), 실리콘과 몰리브덴이 다수번 교번하여 이루어진 다층 Mo/Si 스택(15), 캐핑층(20), 흡수체층(25) 및 하드 마스크층(30)을 포함한다. 또한, 도 1에 도시하는 바와 같이, 기판(10)의 배면 상에는 배면 전도성층(45)이 형성된다.
기판(10)은 일부 실시형태에서 저 열팽창 재료(low thermal expansion material)로 형성된다. 일부 실시형태에서, 기판은 용융 실리카 또는 용융 석영과 같은 저 열팽창 유리 또는 석영이다. 일부 실시형태에서, 저 열팽창 유리 기판은 가시 파장, 가시 스펙트럼 근방의 적외선 파장의 일부(근적외선), 및 자외선 파장의 일부의 광을 투과시킨다. 일부 실시형태에서, 저 열팽창 유리 기판은 극자외선 파장 및 극자외선 근방의 심자외선 파장을 흡수한다. 일부 실시형태에서, 기판(10)의 사이즈는 약 20 mm의 두께를 가진 152 mm × 152 mm이다.
일부 실시형태에서, Mo/Si 다층 스택(15)은 각각 실리콘과 몰리브덴으로 이루어진 약 30개의 교번층 내지 각각 실리콘과 몰리브덴으로 이루어진 약 60개의 교번층을 포함한다. 소정의 실시형태에서, 각각 실리콘과 몰리브덴으로 이루어진 약 40개 내지 약 50개의 교번층이 형성된다. 일부 실시형태에서, 반사율은 대상 파장, 예컨대 13.5 nm에 대해 약 70 %보다 높다. 일부 실시형태에서, 실리콘과 몰리브덴 층은 화학적 기상 퇴적(CVD), 플라즈마 강화 CVD(PECVD), 원자층 퇴적(ALD), 물리적 기상 퇴적(PVD)(스퍼터링), 또는 기타 적절한 성막 방법에 의해 형성된다. 실리콘과 몰리브덴의 각 층은 두께가 약 2 nm 내지 약 10 nm이다. 일부 실시형태에서, 실리콘과 몰리브덴의 층은 대략 동일한 두께이다. 다른 실시형태에서, 실리콘과 몰리브덴의 층은 상이한 두께이다. 일부 실시형태에서, 각 실리콘층의 두께는 약 4 nm이고, 각 몰리브덴층의 두께는 약 3 nm이다.
다른 실시형태에서, 다층 스택(15)은 몰리브덴층과 베릴륨층의 교번층을 포함한다. 일부 실시형태에서, 다층 스택(15)의 층의 수는 약 20 내지 약 100의 범위이지만, 타겟 기판을 촬상하기에 충분한 반사율이 유지되는 한 임의 개의 층이 허용된다. 일부 실시형태에서, 반사율은 대상 파장, 예컨대 13.5 nm에 대해 약 70 %보다 높다. 일부 실시형태에서, 다층 스택(15)은 Mo과 Be의 약 30 내지 약 60개의 교번층을 포함한다. 본 개시내용의 다른 실시형태에서, 다층 스택(15)은 각각 Mo과 Be로 이루어진 약 40 내지 약 50개의 교번층을 포함한다.
캐핑층(20)은 일부 실시형태에서, 다층 스택(15)의 산화를 방지하기 위해 Mo/Si 다층(15) 위에 배치된다. 일부 실시형태에서, 캐핑층(20)은 약 2 nm 내지 약 10 nm의 두께를 가진, 루테늄, 루테늄 합금(예컨대, RuB, RuSi 또는 RuNb) 또는 루테늄 산화물(예컨대, RuO2 또는 RuNbO)로 제조된다. 소정의 실시형태에서, 캐핑층(20)의 두께는 약 2 nm 내지 약 4 nm이다. 일부 실시형태에 있어서, 캐핑층(20)은 약 3.5 nm±10 %의 두께를 갖는다. 일부 실시형태에서, 캐핑층(20)은 화학적 기상 퇴적, 플라즈마 강화 화학적 기상 퇴적, 원자층 퇴적, 물리적 기상 퇴적(예컨대, 스퍼터링), 또는 기타 적절한 성막 방법에 의해 형성된다. 다른 실시형태에서는, Si층이 캐핑층(20)으로서 사용된다.
흡수체층(25)은 캐핑층(20) 상에 배치된다. 본 개시내용의 실시형태에서, 흡수체층(25)은 후술하는 바와 같이 다층 구조를 갖는다. 다른 실시형태에서, 흡수체층(25)은 Co, Te, Hf 및/또는 Ni 또는 이들의 합금 층을 포함한다.
일부 실시형태에서는, 선택적으로 반사방지층(도시 생략)이 흡수체층(25) 위에 배치된다. 일부 실시형태에서 반사방지층은 실리콘 산화물로 제조되고 약 2 nm 내지 약 10 nm의 두께를 갖는다. 다른 실시형태에서는, 약 12 nm 내지 약 18 nm의 범위의 두께를 갖는 TaBO층이 반사방지층으로서 사용된다. 일부 실시형태에서, 반사방지층의 두께는 약 3 nm 내지 약 6 nm이다. 일부 실시형태에서, 반사방지층은 화학적 기상 퇴적, 플라즈마 강화 화학적 기상 퇴적, 원자층 퇴적, 물리적 기상 퇴적, 또는 기타 적절한 성막 방법에 의해 형성된다.
일부 실시형태에서 하드 마스크층(30)은 흡수체층(25) 위에 배치된다. 일부 실시형태에서는 하드 마스크층(30)이 반사방지층 위에 형성된다. 일부 실시형태에서, 하드 마스크층(30)은 Ta계 재료, 예컨대 TaB, TaO, TaBO 또는 TaBN로 제조된다. 다른 실시형태에서, 하드 마스크층(30)은 실리콘, 실리콘계 화합물(예컨대, SiN 또는 SiON), 루테늄계 화합물(Ru 또는 RuB)로 제조된다. 하드 마스크층(30)은 약 4 nm 내지 약 20 nm의 두께를 갖는다. 일부 실시형태에서, 하드 마스크층(30)은 화학적 기상 퇴적, 플라즈마 강화 화학적 기상 퇴적, 원자층 퇴적, 물리적 기상 퇴적, 또는 기타 적절한 성막 방법에 의해 형성된다.
일부 실시형태에서, 배면 전도성층(45)은 Mo/Si 다층(15)이 형성되는 기판의 제1 주표면과 반대되는 기판(10)의 제2 주표면 상에 배치된다. 일부 실시형태에서, 배면 전도성층(45)은 TaB(탄탈 붕소화물) 또는 기타 Ta계 전도성 재료로 제조된다. 일부 실시형태에서, 탄탈 붕소화물은 결정이다. 결정질 탄탈 붕소화물은 TaB, Ta5B6, Ta3B4 및 TaB2을 포함한다. 다른 실시형태에서, 탄탈 붕소화물은 다결정 또는 비정질이다. 다른 실시형태에서, 배면 전도성층(45)은 Cr계 전도성 재료(CrN 또는 CrON)로 제조된다. 일부 실시형태에서, 배면 전도성층(45)의 시트 저항은 20 Ω/□ 이하이다. 소정의 실시형태에서, 배면 전도성층(45)의 시트 저항은 0.1 Ω/□ 이상이다. 일부 실시형태에서, 배면 전도성층(45)의 표면 거칠기(Ra)는 0.25 nm 이하이다. 소정의 실시형태에서, 배면 전도성층(45)의 표면 거칠기(Ra)는 0.05 nm 이상이다. 또한, 일부 실시형태에서, 배면 전도성층(45)의 평편도(flatness)는 (EUV 포토 마스크 내에서) 50 nm 이하이다. 일부 실시형태에서, 배면 전도성층(45)의 편평도는 1 nm보다 크다. 일부 실시형태에서, 배면 전도성층(45) 두께는 약 50 nm 내지 약 400 nm의 범위이다. 다른 실시형태에서, 배면 전도성층(45)은 약 50 nm 내지 약 100 nm의 두께를 갖는다. 소정의 실시형태에 있어서, 그 두께는 약 65 nm 내지 약 75 nm의 범위이다. 일부 실시형태에서, 배면 전도성층(45)은 대기압 화학적 기상 퇴적(CVD)과, 저압 CVD, 플라즈마 강화 CVD와, 레이저 강화 CVD와, 원자층 퇴적(ALD)과, 분자빔 에피택시(MBE)와, 열퇴적, 펄스 레이저 퇴적, 전자빔 증착, 이온빔 보조 증착, 및 스퍼터링을 포함한 물리적 기상 퇴적, 또는 기타 적절한 성막 방법에 의해 형성된다. 일부 실시형태에서, CVD의 경우, 소스 가스는 TaCl5 및 BCl3를 포함한다.
도 2a 내지 도 2g는 극자외선 리소그래피(EUVL)에 사용하기 위한 EUV 포토 마스크(5)를 제조하는 방법을 개략적으로 도시한다. 도 2a 내지 도 2g에 나타내는 공정의 이전, 동안, 이후에 추가 작업들이 행해질 수 있으며, 후술하는 작업들의 일부는 방법의 추가 실시형태를 위해 대체 또는 삭제될 수 있는 것이 이해되어야 한다. 작업/공정의 순서는 교체될 수도 있다.
EUV 포토 마스크(5)의 제조에 있어서, 제1 포토레지스트층(35)이 EUV 포토 마스크 블랭크의 하드 마스크층(30) 위에 형성되고, 포토레지스트층(35)은 선택적으로 화학 방사선(actinic radiation)에 노출된다. 제1 포토레지스트층(35)이 형성되기 전에, EUV 포토 마스크 블랭크는 검사를 받는다. 선택적으로 노출된 제1 포토레지스트층(35)은 제1 포토레지스트층(35)에 패턴(40)을 형성하도록 현상된다. 일부 실시형태에서, 화학 방사선은 전자빔 또는 이온빔이다. 일부 실시형태에서, 패턴(40)은, 후속의 형성 작업에서 EUV 포토 마스크(5)를 사용할 반도체 디바이스 피처의 패턴에 대응한다.
다음으로, 제1 포토레지스트층(35) 내의 패턴은 하드 마스크층(30)으로 연장되어 도 2b에 도시하는 바와 같이, 흡수체층(25)의 부분을 노출시키는 하드 마스크층(30) 내의 패턴(41)을 형성한다. 하드 마스크층(30)으로 연장되는 패턴(41)은 일부 실시형태에서는, 하드 마스크층(30)에 대해 선택적인 적절한 습식 또는 건식 에칭제를 사용하는 에칭에 의해 형성된다. 하드 마스크층(30)에 의한 패턴(41)이 형성된 후에, 제1 포토레지스트층(35)은 도 2c에 도시하는 바와 같이, 하드 마스크층(30)의 상부 표면을 노출시키기 위해 포토레지스트 박리제에 의해 제거된다.
그런 다음, 제1 포토레지스트층(30) 내의 패턴(41)은 흡수체층(25)으로 연장되어 도 2d에 도시하는 바와 같이, 캐핑층(20)의 부분을 노출시키는 흡수체층(25) 내의 패턴(42)을 형성한다. 흡수체층(25)으로 연장되는 패턴(42)은 일부 실시형태에서는, 흡수체층(25)에 대해 선택적인 적절한 습식 또는 건식 에칭제를 사용하는 에칭에 의해 형성된다. 일부 실시형태에서는, 플라즈마 건식 에칭이 사용된다.
도 2e에 도시하는 바와 같이, 제2 포토레지스트층(45)이 흡수체층(25) 위에 형성되어 흡수체층(25) 내의 패턴(42)을 충전한다. 제2 포토레지스트층(45)은 전자빔 또는 UV 방사선 등의 화학 방사선에 선택적으로 노출된다. 선택적으로 노출된 제2 포토레지스트층(45)은 제2 포토레지스트층(45)에 패턴(50)을 형성하도록 현상된다. 패턴(50)은 회로 패턴을 둘러싸는 블랙 보더(black border)에 대응한다. 블랙 보더는 회로 패턴 영역 주위의 영역에서 EUV 포토 마스크 상의 모든 다층을 제거함으로써 형성된 프레임 형상의 영역이다. 그것은 웨이퍼 상에 EUV 포토 마스크를 프린팅할 때에 인접한 필드의 노출을 막기 위해 형성된다. 일부 실시형태에서는, 블랙 보더의 폭이 약 1 nm 내지 약 5 nm의 범위이다.
다음으로, 제2 포토레지스트층(45) 내의 패턴(50)은 흡수체층(25), 캐핑층(20), 및 Mo/Si 다층(15)으로 연장되어 도 2f에 도시하는 바와 같이, 기판(10)의 부분을 노출시키는 흡수체층(25), 캐핑층(20), 및 Mo/Si 다층(15) 내의 패턴(51)을 형성한다. 일부 실시형태에서, 패턴(51)은 에칭되는 층 각각에 대해 선택적인 하나 이상의 적절한 습식 또는 건식 에칭제를 사용하여 에칭함으로써 형성된다. 일부 실시형태에서는, 플라즈마 건식 에칭이 사용된다.
그런 다음, 제2 포토레지스트층(45)은 흡수체층(25)의 상부 표면을 노출시키도록 적절한 포토레지스트 박리제에 의해 제거된다. 흡수체층(25), 캐핑층(20) 및 Mo/Si 다층(15) 내의 패턴(51)은 도 2g에 도시하는 바와 같이, 본 개시내용의 일부 실시형태에서 포토 마스크(5)의 블랙 보더를 규정한다. 제2 포토레지스트층의 제거 후에, 포토 마스크(5)는 세정 작업, 검사를 받고, 필요한 경우 포토 마스크(5)를 수리하여 최종 포토 마스크(5)를 제공한다.
도 3a, 도 3b, 도 3c, 및 도 3d는 본 개시내용의 일 실시형태에 따른 EUV 포토 마스크의 단면도를 보여준다.
본 개시내용에서, 흡수체층(25)은 교번으로 적층되는 제1 층(120)과 제2 층(125)을 가진 다층 구조를 갖는다. 일부 실시형태에서, 흡수체층(25)은 제1 층(120)인 제1 Cr계 층과 제2 층(125)인 제2 Cr계 층의 하나 이상의 교번 쌍(alternating pair)을 포함한다. 일부 실시형태에서, 제1 Cr계 층은 CrN이고, 제2 Cr계 층은 CrON이다. 일부 실시형태에 있어서, CrON 내의 O와 N의 비는 약 0.2:0.8 내지 0.8:0.2의 범위이다. 다른 실시형태에 있어서, CrON 내의 O와 N의 비는 약 0.4:0.6 내지 0.6:0.4의 범위이다. 일부 실시형태에서, O의 양(원자 백분율)은 N의 양 이상이고, 다른 실시형태에서, O의 양은 N의 양보다 적다. 일부 실시형태에서, 제1 Cr계 층은 CrxNi1-xN이다. 일부 실시형태에서는 0 ≤ x ≤ 0.5이고, 다른 실시형태에서는 0.5 ≤ x ≤ 1.0이다. 일부 실시형태에서, CrN 재료는 순수 CrN이거나 Cr2N과 CrN의 혼합물이다. 일반적으로 Cr2N는 CrN보다 더 단단하므로 CrN보다 에칭 레이트가 낮다. CrN층의 조성은 질소 유량 등의 하나 이상의 성막 조건을 변경함으로써 변경될 수 있다.
일부 실시형태에서, 제1 층은 TaCo이고, 제2 층은 TaCoO이다. 일부 실시형태에서, 제1 층은 Hf이고, 제2 층은 하프늄 산화물이다.
일부 실시형태에 있어서, 제1 층(120)과 제2 층(125)은 비정질이다.
일부 실시형태에 있어서, 제1 층(120)과 제2 층(125)의 쌍의 수는 2 내지 6이다. 다른 실시형태에서는 쌍의 수가 3, 4 또는 5이다. 소정의 실시형태에서는 쌍의 수가 3이다. 일부 실시형태에서, 흡수체층(25)은 캐핑층(20)과, 제1 층(120)과 제2 층(125)의 쌍과의 사이에 배치되는 바닥 흡수체층(110)을 포함한다. 일부 실시형태에서, 바닥 흡수체층(110)은 TaB, TaO, TaBO 및/또는 TaB를 포함한 NTa계 재료이다. 소정의 실시형태에서, 바닥 흡수체층(110)은 TaBO이다. 바닥 흡수체층(110)의 두께는 일부 실시형태에서는 약 0.5 nm 내지 약 5 nm의 범위이고, 다른 실시형태에서는 약 1 nm 내지 약 3 nm이다. 일부 실시형태에 있어서, 바닥 흡수체층(110)은 약 2 nm±10 %의 두께를 갖는다.
도 3a에 도시하는 바와 같이, 일부 실시형태에서, 제2 층(125)은 각 쌍(예컨대, 4개 쌍) 중의 제1 층(120) 상에 배치된다. 다른 실시형태에서는, 도 3b에 도시하는 바와 같이, 제1 층(120)은 각 쌍(예컨대, 4개 쌍) 중의 제2 층(125) 상에 배치된다. 도 3a와 도 3b에서, 제1 층(120)의 수는 제2 층(125)의 수와 같다.
일부 실시형태에 있어서, 도 3c에 도시하는 바와 같이, 제2 층(125)은 각 쌍(예컨대, 3개 쌍) 중의 제1 층(120) 상에 배치되고, 추가 제1 층(120)도 3개 쌍 상에 형성된다. 이에, 쌍의 수는 3.5이다. 다른 실시형태에 있어서, 도 3d에 도시하는 바와 같이, 제1 층(120)은 각 쌍(예컨대, 3개 쌍) 중의 제2 층(125) 상에 배치되고, 추가 제2 층(125)도 3개 쌍 상에 형성된다. 이에, 쌍의 수는 3.5이다. 도 3b와 도 3c에서, 제1 층(120)의 수는 제2 층(125)의 수와 상이하고, 그 수의 차이는 1이다.
일부 실시형태에서, 흡수체층(25)은 도 3c에 도시하는 바와 같이 교번으로 적층된, 제1 층(120)인 4개의 CrN층과 제2 층(125)인 3개의 CrON층을 포함한다. 다른 실시형태에서, 흡수체층(25)은 도 3d에 도시하는 바와 같이 교번으로 적층된, 제1 층(120)인 3개의 CrN층과 제2 층(125)인 4개의 CrON층을 포함한다.
일부 실시형태에 있어서, 제1 층(120)과 제2 층(125)의 각각의 두께는 약 1 nm 내지 약 8 nm의 범위이다. 일부 실시형태에 있어서, 제1 층(120)과 제2 층(125)의 각각의 두께는 EUV광의 파장의 절반 미만이다. 일부 실시형태에 있어서, 제1 층(120)과 제2 층(125)의 두께는 서로 동일하다. 다른 실시형태에 있어서, 제1 층(120)의 두께는 제2 층(125)의 두께보다 크거나 작다. 일부 실시형태에서, 제1 층(120) 및/또는 제2 층(125)의 두께는 1 nm±10 %, 2 nm±10 %, 3 nm±10 %, 4 nm±10 %, 5 nm±10 %, 6 nm±10 %, 7 nm±10 %, 또는 8 nm±10 %이다. 다른 실시형태에서, 제1 층(120) 및/또는 제2 층(125)의 두께는 1 nm±5 %, 2 nm±5 %, 3 nm±5 %, 4 nm±5 %, 5 nm±5 %, 6 nm±5 %, 7 nm±5 %, 또는 8 nm±5 %이다. 일부 실시형태에 있어서, 흡수체층(25) 내의 제1 층(120)의 두께 변화는 제1 층(120)의 평균 두께의 10 % 미만이다. 일부 실시형태에 있어서, 흡수체층(25) 내의 제2 층(125)의 두께 변화는 제2 층(125)의 평균 두께의 10 % 미만이다. 일부 실시형태에 있어서, 제1 층(120) 및/또는 제2 층(125)의 두께는 기판과의 거리가 증가할수록 증가한다. 다른 실시형태에 있어서, 제1 층(120) 및/또는 제2 층(125)의 두께는 기판과의 거리가 증가할수록 감소한다.
일부 실시형태에서, 흡수체층(25)은 도 3c에 도시하는 바와 같이 교번으로 적층된, 제1 층(120)으로서 각각 6 nm±10 %의 두께를 가진 4개의 CrN층과 제2 층(125)으로서 각각 5 nm ± 10 %의 두께를 가진 3개의 CrON층을 포함한다. 다른 실시형태에서, 흡수체층(25)은 도 3d에 도시하는 바와 같이 교번으로 적층된, 제1 층(120)으로서 6 nm±10 %의 두께를 가진 3개의 CrN층과 제2 층(125)으로서 5 nm ± 10 %의 두께를 가진 4개의 CrON층을 포함한다.
일부 실시형태에서, 바닥 흡수체층(110)과, 제1 층(120)과 제2 층(125)의 다층을 포함하는 흡수체층(25)의 총 두께는 약 30 nm보다 크고 약 50 nm보다 작다. 소정의 실시형태에서, 흡수체층(25)의 총 두께는 약 45 nm 미만이다.
도 4a 내지 도 4g와 도 5a 내지 도 5g는 본 개시내용의 실시형태에 따른 EUV 반사 포토 마스크를 제조하는 순차적인 작업을 개략적으로 도시하고 있다. 도 2a 내지 도 2g에 나타내는 공정의 이전, 동안, 이후에 추가 작업들이 행해질 수 있으며, 후술하는 작업들의 일부는 방법의 추가 실시형태를 위해 대체 또는 삭제될 수 있는 것이 이해되어야 한다. 작업/공정의 순서는 교체될 수도 있다. 이전의 실시형태와 관련하여 설명한 재료, 구성, 공정 및/또는 치수가 이하의 실시형태에서도 채택될 수 있으며, 이에 대한 상세한 설명은 생략될 수 있다.
도 4a 내지 도 4g는 본 개시내용의 실시형태에 따른 블랭크 EUV 반사 포토 마스크를 제조하는 순차적인 작업을 개략적으로 도시하고 있다. EUV 포토 마스크 블랭크의 제조에 있어서, 도 4a에 도시하는 바와 같이, 기판(10) 위에 다층 스택(15)이 형성된다. 일부 실시형태에서, 다층 스택(15)은 실리콘과 몰리브덴의 다수의 교번층을 포함한다. 일부 실시형태에서, 각 실리콘층의 두께는 약 4 nm이고, 각 몰리브덴층의 두께는 약 3 nm이다. 일부 실시형태에서, 실리콘과 몰리브덴 층은 CVD, 플라즈마 강화 CVD, ALD, 이온빔 퇴적, 스퍼터링, 또는 기타 적절한 성막 방법에 의해 형성된다.
그런 다음, 도 4b에 도시하는 바와 같이, 캐핑층(20)과 바닥 흡수체층(110)이 다층 스택(15) 위에 형성된다. 일부 실시형태에서, 캐핑층은 Ru 또는 Ru 합금을 포함하고, CVD, 플라즈마 강화 CVD, ALD, 이온빔 퇴적, 스퍼터링, 또는 기타 적절한 성막 방법에 의해 형성된다.
바닥 흡수체층(110)은 CVD, 플라즈마 강화 CVD, ALD, 이온빔 퇴적, 스퍼터링, 또는 기타 적절한 성막 방법에 의해 형성되는 TaB, TaO, TaBO 및/또는 TaBN을 포함한 Ta계 층이다. 소정의 실시형태에 있어서, 바닥 흡수체층(110)은 약 2 nm±10 %의 두께를 갖는 TaBO이다. 일부 실시형태에서는, 바닥 흡수체층(110)이 에칭 정지층으로서 기능한다.
또한, 도 4c 내지 도 4e에 도시하는 바와 같이, 제1 층(120)과 제2 층(125)이 바닥 흡수체층(110) 상에 교번으로 형성된다. 일부 실시형태에서는, 제1 층(120)이 바닥 흡수체층(110) 상에 형성된 다음 제2 층(125)이 제1 층 상에 형성된다. 다른 실시형태에서는, 제2 층(125)이 바닥 흡수체층(110) 상에 형성된 다음 제1 층(120)이 제2 층 상에 형성된다. 도 4e에 도시하는 바와 같이, 제1 층(120)과 제2 층(125)의 형성이 반복되어 흡수체층(25)을 달성한다.
제1 층(120)이 CrN로 제조되고 제2 층(125)이 CrON로 제조되는 경우, CrN은 N2와 같은 질소 함유 가스와 Cr 타겟을 사용한 스퍼터링에 의해 형성되고, CrON은 O2와 N2의 혼합물과 같은 질소와 산소를 함유한 가스와 Cr 타겟을 사용한 스퍼터링에 의해 형성된다. O2와 N2의 유량비를 변경함으로써, CrON층 내의 O과 N의 비를 제어하는 것이 가능하다. 스퍼터링 가스는 Ar, He 및/또는 Ne를 더 포함할 수도 있다. 일부 실시형태에서, O2의 흐름을 (온/오프)제어함으로써, CrN과 CrON의 다층이 형성된다.
그런 다음, 도 4f에 도시하는 바와 같이, 하드 마스크층(30)이 흡수체층(25) 상에 형성된다. 하드 마스크층(30)은 CVD, 플라즈마 강화 CVD, ALD, 이온빔 퇴적, 스퍼터링, 또는 기타 적절한 성막 방법에 의해 형성되는 TaB, TaO, TaBO 및/또는 TaBN을 포함한 Ta계 층이다. 일부 실시형태에서, 하드 마스크층(30)의 재료는 바닥 흡수체층와 동일하거나 유사하다. 일부 실시형태에 있어서, 하드 마스크층(30)의 두께는 약 2 nm 내지 약 10 nm의 범위이다. 소정의 실시형태에 있어서, 하드 마스크층(30)은 약 6 nm±10 %의 두께를 갖는 TaBO이다.
또한, 도 4g에 도시하는 바와 같이, CVD, 플라즈마 강화 CVD, ALD, 이온빔 퇴적, 스퍼터링, 또는 기타 적절한 성막 방법에 의해 기판의 배면 상에 배면 전도성층(45)이 형성되고, 그에 따라 마스크 블랭크가 달성된다.
도 5a 내지 도 5g는 본 개시내용의 실시형태에 따른 회로 패턴을 가진 EUV 반사 포토 마스크의 순차적 작업을 개략적으로 도시하고 있다.
도 5a에 도시하는 바와 같이, EUV 포토 마스크 블랭크의 하드 마스크층(30) 위에 포토레지스트층(35)이 형성된다. 그런 다음, 도 5b에 도시하는 바와 같이, 포토레지스트층(35)은 전자빔 또는 이온빔과 같은 화학 방사선(100)에 선택적으로 노출된다. 선택적으로 노출된 포토레지스트층(35)은 도 5c에 도시하는 바와 같이 제1 포토레지스트층(35)에 패턴(40)을 형성하도록 현상된다.
다음으로, 포토레지스트층(35) 내의 패턴(40)은 하드 마스크층(30)으로 연장되어 도 5d에 도시하는 바와 같이, 흡수체층(25)의 상부층(예컨대, 제2 층(125) 또는 제1 층(120))의 부분을 노출시키는 하드 마스크층(30) 내의 패턴(41)을 형성한다. 하드 마스크층(30)으로 연장되는 패턴(41)은 일부 실시형태에서는, 하드 마스크층(30)에 대해 선택적인 적절한 습식 또는 건식 에칭제를 사용하는 에칭에 의해 형성된다. 하드 마스크층(30)에 의한 패턴(41)이 형성된 후에, 포토레지스트층(35)은 도 5e에 도시하는 바와 같이, 하드 마스크층(30)의 상부 표면을 노출시키기 위해 포토레지스트 박리제에 의해 제거된다.
그런 다음, 하드 마스크층(30) 내의 패턴(41)은 흡수체층(25)으로 연장되어 도 5f에 도시하는 바와 같이, 흡수체층(25)에 패턴(42)을 형성한다. 바닥 흡수체층(110)이 하드 마스크층(30)과 동일한 재료 또는 유사한 재료로 제조되기 때문에, 도 5f에 도시하는 바와 같이, 에칭은 실질적으로 바닥 흡수체층(110)의 표면에서 멈춘다.
흡수체층(25)의 제1 및 제2 층(120, 125)이 Cr계 재료(CrN, CrON)로 제조되는 경우, 일부 실시형태에서는 Cl 함유 가스를 사용한 플라즈마 건식 에칭이 사용된다. 일부 실시형태에서, Cl 함유 가스는 Cl2 및/또는 CCl4과 O2의 혼합물이다. 소정의 실시형태에서는, Cl2과 O2의 혼합물이 사용된다.
제1 층(120)이 CrN이고 제2 층(125)이 CrON인 경우, 플라즈마는 Cl2과 O2의 혼합물을 사용하고, Cl2와 O2의 혼합물을 사용한 플라즈마에 의한 CrON의 에칭 레이트는 CrN층의 에칭 레이트보다 높다. 따라서, 에칭은 흡수체층(25)이 벌크 CrN층을 포함하는 경우보다 제어가 용이하다. 예를 들어, 패턴(42)의 수직 측면의 프로파일은 기판(10)에 실질적으로 수직이다. 다른 실시형태에서, CF3H 및/또는 CF4와 같은 불소 함유 가스는 O2 가스와 함께 사용할 수 있다.
그런 다음, 도 5g에 도시하는 바와 같이, 하드 마스크층(30) 및 바닥 흡수체층(110)의 노출 부분은 적절한 에칭 작업을 사용하여 제거된다. 하드 마스크층(30)과 바닥 흡수체층(110)에 있어서의 "유사한" 재료란 하드 마스크층(30)과 바닥 흡수체층(110)의 노출된 부분이 함께 제거되고 바닥 흡수체층(110)이 에칭 정지층으로서 기능하는 것을 의미한다.
도 5g에 도시하는 바와 같이 회로 패턴(43)이 형성된 후에, 도 2e 내지 도 2g에서 설명한 작업에 의해 블랙 보더가 형성되며, 세정 작업 및 검사 작업이 수행되어 최종 포토 마스크를 제공한다.
도 6a, 도 6b, 및 도 6c는 본 개시내용의 다른 실시형태에 따른 흡수체층의 다층 구조의 단면도를 보여준다.
전술한 바와 같이, 제1 층(120)과 제2 층(125)이 상이한 재료로 제조될 경우, 제1 층의 에칭 레이트는 제2 층의 에칭 레이트와 상이하다. 제1 층의 에칭 레이트가 제2 층의 에칭 레이트보다 낮은 경우, 에칭된 흡수체층(25)의 측면 프로파일은 일부 실시형태에서 도 6a에 도시하는 바와 같이 불균일한 표면을 갖는다. 일부 실시형태에 있어서, 제1 층(120)의 횡단부(lateral end)와 제2 층(125)의 횡단부 사이의 거리(D1)는 약 0.5 nm 내지 약 2 nm의 범위이다. 제1 층(120)과 제2 층(125)의 각각의 두께가 EUV광의 파장보다 충분히 작기 때문에, 이러한 불균일함은 EUV 리소그래피의 패터닝에 영향을 미치지 않을 것이다.
일부 실시형태에서, 제1 층(120)의 에칭 레이트가 낮은 경우, 제1 층(120)의 측면 프로파일은 도 6b에 도시하는 바와 같이 테이퍼 형상을 갖는다. 일부 실시형태에서는, 테이퍼 각도(TH)가 약 5도 내지 약 15도의 범위이다. 다른 실시형태에서, 제2 층(125)의 측벽 프로파일도 약 1도 내지 약 10도의 범위의 테이퍼 각도를 갖는 테이퍼 각도를 가지며, 이 각도는 일부 실시형태에서 제1 층(120)의 테이퍼 각도(TH)보다 작다.
또한, 일부 실시형태에서, 제1 층(120)이 CrN인 경우, 제1 층(120)의 에칭된 측면은 도 6c에 도시하는 바와 같이, 약간 산화되어 산화된 부분(122)(CrON 부분)을 형성한다. 일부 실시형태에 있어서, 산화된 부분(122)의 두께(D2)는 약 0.1 nm 내지 약 2 nm의 범위이다.
도 7은 다양한 흡수체 구조의 반사율의 시뮬레이션 결과를 보여준다.
라인(L1)은 2 nm TaBO층 상에 형성된 TaBN층을 가진 흡수체층의 반사율을 보여주고, 라인(L2)은 2 nm TaBO층 상에 형성된 CrN층을 가진 흡수체층의 반사율을 보여주며, 라인(L3)은 2 nm TaBO층 상에 형성된 CrON층을 가진 흡수체층의 반사율을 보여준다. 삼각형은 2 nm TaBO층 상에 형성된 CrN과 CrON의 교번층을 가진 흡수체층의 반사율을 보여주며, 여기서 CrN층은 TaBO층과 접촉한다. 사각형은 2 nm TaBO층 상에 형성된 CrN과 CrON의 교번층을 가진 흡수체층의 반사율을 보여주며, 여기서 CrON층은 TaBO층과 접촉한다. CrN층 각각의 두께는 6 nm로 설정되고, CrON층 각각의 두께는 5 nm로 설정된다.
도 7에 도시하는 바와 같이, 흡수체층이 CrON층 상에 4개의 CrN층과 3개의 CrON층을 갖는 경우(3.5개 쌍, 총 두께가 41 nm임), 반사율은 약 1.7 %이다. 흡수체층이 TaBO층 상에 3개의 CrN층과 4개의 CrON층을 갖는 경우(3.5개 쌍, 총 두께가 40 nm임), 반사율은 약 2.0 %이다. 2 % 미만의 반사율을 얻기 위해서는, 라인(L1)의 경우, 70 nm 정도의 두께가 필요하다. CrN과 CrON의 다층 구조는 총 흡수층 두께가 약 45 nm인 약 2.0 % 미만의 저반사율을 달성할 수 있다.
도 8a는 반도체 디바이스 제조 방법의 흐름도를 도시하고, 도 8b, 도 8c, 도 8d, 및 도 8e는 본 개시내용의 실시형태에 따른 반도체 디바이스 제조 방법의 순차적 제조 작업을 보여준다. 집적 회로를 형성하기 위해 패터닝될 반도체 기판 또는 기타 적절한 기판이 제공된다. 일부 실시형태에서, 반도체 기판은 실리콘을 포함한다. 대안으로 또는 추가로, 반도체 기판은 게르마늄, 실리콘 게르마늄, 또는 Ш/V족 반도체 재료 등의 기타 적절한 반도체 재료를 포함한다. 도 8a의 S101에서, 패터닝될 타겟층이 반도체 기판 위에 형성된다. 소정의 실시형태에서, 타겟층은 반도체 기판이다. 일부 실시형태에서, 타겟층은 금속층 또는 폴리실리콘층과 같은 전도성층과, 실리콘 산화물, 실리콘 질화물, SiON, SiOC, SiOCN, SiCN, 하프늄 산화물, 또는 알루미늄 산화물 등의 유전체층, 또는 에피택셜로 형성된 반도체층과 같은 반도체층을 포함한다. 일부 실시형탱태에서, 타겟층은 격리 구조, 트랜지스터 또는 배선 등의 하부 구조 위에 형성된다. 도 8a의 S102에서, 도 8b에 도시하는 바와 같이, 포토레지스트층이 타겟층 위에 형성된다. 포토레지스트층은 후속의 포토리소그래피 노출 공정 중에 노출 소스로부터의 방사선에 민감하다. 본 개시내용에 있어서, 포토레지스트층은 포토리소그래피 노출 공정에 사용된 EUV광에 민감하다. 포토레지스트층은 스핀-온 코팅 또는 기타 적절한 기술에 의해 타겟층 위에 형성될 수도 있다. 코팅된 포토레지스트층은 추가 베이킹되어 포토레지스트층 내의 용매를 배출할 수 있다. 도 8a의 S103에서, 도 8b에 도시하는 바와 같이, 포토레지스트층은 전술한 EUV 반사 마스크를 사용하여 패터닝된다. 포토레지스트층의 패터닝은 EUV 마스크를 사용하여 EUV 노출 시스템에 의해 포토리소그래치 노출 공정을 수행하는 것을 포함한다. 노출 공정 시에, EUV 마스크 상에 규정된 집적 회로(IC) 설계 패턴이 포토레지스트층에 촬상되어 잠재 패턴(latent pattern)을 형성한다. 포토레지스트층의 패터닝은 하나 이상의 개구부를 가진 패터닝된 포토레지스트층을 형성하기 위해 노출된 포토레지스트층을 현상하는 것을 더 포함한다. 포토레지스트층이 포지티브톤의 포토레지스트층인 일 실시형태에서는, 현상 공정 시에 포토레지스트층의 노출된 부분이 제거된다. 포토레지스트층의 패터닝은 상이한 스테이지에서의 상이한 베이킹 단계 등의 다른 공정 단계를 더 포함할 수도 있다. 예를 들어, 노출후 베이킹(post-exposure-baking, PEB) 공정이 포토리소그래피 노출 공정 이후에 그리고 현상 공정 이전에 실시될 수도 있다.
도 8a의 S104에서, 도 8d에 도시하는 바와 같이, 타겟층은 에칭 마스크로서 패터닝된 포토레지스트층을 사용하여 패터닝된다. 일부 실시형태에서, 타깃층의 패터닝은 패터닝된 포토레지스트층을 에칭 마스크로서 사용하여 타겟층에 에칭 공정을 적용하는 것을 포함한다. 패터닝된 포토레지스트층의 개구부 내에 노출된 타겟층의 부분은 에칭되는데 그 동안 잔여 부분은 에칭으로부터 보호된다. 또한, 패터닝된 포토레지스트층은 도 8e에 도시하는 바와 같이, 습식 박리 또는 플라즈마 애싱에 의해 제거될 수도 있다.
본 개시내용에서, 흡수체층에 대해 다층 구조를 사용함으로써, 흡수체층이 낮은 에칭 레이트의 재료(예컨대, CrN)를 포함하더라도, 에칭 레이트를 개선하는 것이 가능하고 패터닝된 흡수체층에서 실질적으로 수직의 측면 프로파일을 달성하는 것이 가능하다. 또한, 충분히 낮은 반사율(고흡수율)을 가진 더 얇은 흡수체층을 달성하는 것이 가능하다.
본 명세서에서는 전체 효과에 대해 반드시 논의하지 않았고, 특정 효과가 모든 실시형태 또는 실시예에서 필요하지 않으며, 다른 실시형태 또는 실시예는 상이한 효과를 제공할 수 있는 것은 물론이다.
본 개시내용의 일 양태에 따르면, 반사 마스크는 기판과, 상기 기판 상에 배치된 반사 다층(reflective multilayer)과, 상기 반사 다층 상에 배치된 캐핑층과, 상기 캐핑층 상에 배치된 흡수체층을 포함한다. 상기 흡수체층은 제1 Cr계 층과 상기 제1 Cr계 층과는 상이한 제2 Cr계 층의 하나 이상의 교번 쌍(alternating pair)을 포함한다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 제1 Cr계 층은 CrN 또는 CrNiN이고, 상기 제2 Cr계 층은 CrON이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 쌍의 수는 3 내지 6이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 흡수체층은 상기 제1 Cr계 층과 상기 제2 Cr계 층의 3개 쌍을 포함한다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 3개 쌍의 각 쌍에서, 상기 제2 Cr계 층은 상기 제1 Cr계 층 상에 배치된다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 흡수체층은 상기 3개 쌍 상에 배치된 상부 Cr계 층을 더 포함하고, 상기 상부 Cr계 층은 상기 제1 Cr계 층과 동일한 재료로 제조된다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 3개 쌍의 각 쌍에서, 상기 제1 Cr계 층은 상기 제2 Cr계 층 상에 배치된다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 흡수체층은 상기 3개 쌍 상에 배치된 상부 Cr계 층을 더 포함하고, 상기 상부 Cr계 층은 상기 제2 Cr계 층과 동일한 재료로 제조된다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 흡수체층은 캐핑층과, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 하나 이상의 교번 쌍과의 사이에 Ta계 층을 더 포함한다.
본 개시내용의 다른 양태에 따르면, 반사 마스크는 기판과, 상기 기판 상에 배치된 반사 다층과, 상기 반사 다층 상에 배치된 캐핑층과, 상기 캐핑층 상에 배치된 흡수체층을 포함한다. 상기 흡수체층은 교번으로 적층된 CrN층과 CrON층을 포함하고, 상기 CrN층 각각의 두께는 1 nm 내지 8 nm의 범위이고, 상기 CrON층 각각의 두께는 1 nm 내지 8 nm의 범위이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 흡수층의 총 두께는 45 nm 미만이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 CrN층 각각의 두께는 상기 CrON층 각각의 두께보다 크다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 CrN층 각각의 두께는 6 nm±10 %이고, 상기 CrON층 각각의 두께는 5 nm±10 %이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 CrN층의 수와 상기 CrON층의 수는 3이고, 상기 CrN층의 하나가 상기 캐핑층과 접촉한다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 CrN층의 수는 상기 CrON층의 수와 동일하다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 CrN층의 수와 상기 CrON층의 수의 차이는 1이다.
본 개시내용의 다른 양태에 따르면, 반사 마스크는 기판과, 상기 기판 상에 배치된 반사 다층과, 상기 반사 다층 상에 배치된 캐핑층과, 상기 캐핑층 상에 배치된 흡수체층을 포함한다. 상기 흡수체층은 제1 층과 상기 제1 층과는 상이한 제2 층의 2개 이상의 쌍을 포함한다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 제1 층은 CrN 또는 CrNiN이고, 상기 제2 층은 CrON이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 제1 층은 TaCo이고, 상기 제2 층은 TaCoO이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 제1 층은 Hf이고, 상기 제2 층은 하프늄 산화물이다.
본 개시내용의 일 양태에 따르면, 반도체 디바이스를 제조하는 방법에 있어서, 타겟층이 기판 위에 형성되고, 포토레지스트층이 상기 타겟층 위에 형성되며, 상기 포토레지스트층은 반사 포토 마스크를 사용한 EUV 리소그래피 작업에 의해 패터닝되고, 상기 타겟층은 상기 패터닝된 포토레지스트층을 에칭 마스크로서 사용하여 패터닝된다. 상기 반사 포토 마스트는 전술한 반사 마스크 중 임의의 반사 마스크이다.
본 개시내용의 일 양태에 따르면, 반사 마스크를 제조하는 방법에 있어서, 포토레지스트층이 마스크 블랭크 위에 형성된다. 마스크 블랭크는 기판과, 상기 기판 상의 반사 다층과, 상기 반사 다층 상의 캐핑층과, 상기 캐핑층 상의 흡수체층과, 하드 마스크층을 포함한다. 상기 포토레지스트층은 패터닝된다. 상기 하드 마스크층은 상기 패터닝된 포토레지스트층을 사용하여 패터닝된다. 상기 흡수체층은 상기 패터닝된 하드 마스크층을 사용하여 패터닝된다. 상기 흡수체층은 제1 Cr계 층과 상기 제1 Cr계 층과는 상이한 제2 Cr계 층의 2개 이상의 쌍을 포함한다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 흡수체층은 캐핑층과, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 2개 이상의 쌍과의 사이에 Ta계 층을 더 포함한다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 하드 마스크층이 패터닝된 후에, 상기 패터닝된 포토레지스트층은 제거된다. 상기 흡수체층의 패터닝에 있어서, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 2개 이상의 쌍은 공간을 형성하도록 패터닝되고, 상기 하드 마스크층과 상기 Ta계 층의 일부는 상기 공간에서 제거된다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 제1 Cr계 층은 CrN이고, 상기 제2 Cr계 층은 CrON이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 쌍의 수는 3 내지 6이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 흡수체층은 상기 제1 Cr계 층과 상기 제2 Cr계 층의 3개 쌍을 포함한다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 흡수체층은 염소 함유 가스를 사용한 플라즈마 에칭에 의해 패터닝된다. 하나 이상의 전술한 실시형태 또는 다음의 실시형태에 있어서, 상기 염소 함유 가스는 Cl2와 O2의 혼합물이다. 하나 이상의 전술한 실시형태 또는 다음의 실시형태에 있어서, 상기 염소 함유 가스는 CCl4와 O2의 혼합물이다.
본 개시내용의 다른 양태에 따르면, 반사 마스크를 제조하는 방법에 있어서, 포토레지스트층이 마스크 블랭크 위에 형성된다. 마스크 블랭크는 기판과, 상기 기판 상의 반사 다층과, 상기 반사 다층 상의 캐핑층과, 상기 캐핑층 상의 흡수체층과, 하드 마스크층을 포함한다. 상기 포토레지스트층은 패터닝된다. 상기 하드 마스크층은 상기 패터닝된 포토레지스트층을 사용하여 패터닝된다. 상기 흡수체층은 상기 패터닝된 포토레지스트층을 사용하여 패터닝된다. 상기 흡수체층은 교번으로 적층으로 CrN층과 CrON층을 포함한다. 하나 이상의 전술한 실시형태 또는 다음의 실시형태에 있어서, 상기 CrN층 각각의 두께는 1 nm 내지 8 nm의 범위이고, 상기 CrON층 각각의 두께는 1 nm 내지 8 nm의 범위이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 흡수층의 총 두께는 45 nm 미만이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 CrN층 각각의 두께는 상기 CrON층 각각의 두께보다 크다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 CrN층 각각의 두께는 6 nm±5 %이고, 상기 CrON층 각각의 두께는 5 nm±5 %이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 CrN층의 수와 상기 CrON층의 수는 3이고, 상기 CrN층의 하나가 상기 캐핑층과 접촉한다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 CrN층의 수는 상기 CrON층의 수와 동일하다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 CrN층의 수와 상기 CrON층의 수의 차이는 1이다.
본 개시내용의 다른 양태에 따르면, 반사 마스크를 제조하는 방법에 있어서, 포토레지스트층이 마스크 블랭크 위에 형성된다. 마스크 블랭크는 기판과, 상기 기판 상의 반사 다층과, 상기 반사 다층 상의 캐핑층과, 상기 캐핑층 상의 흡수체층과, 하드 마스크층을 포함한다. 상기 포토레지스트층은 패터닝된다. 상기 하드 마스크층은 상기 패터닝된 포토레지스트층을 사용하여 패터닝된다. 상기 흡수체층은 상기 패터닝된 포토레지스트층을 사용하여 패터닝된다. 상기 흡수체층은 제1 층과 상기 제1 층과는 상이한 제2 층의 2개 이상의 쌍을 포함한다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 제1 층은 CrN 또는 CrNiN이고, 상기 제2 층은 CrON이다. 전술한 실시형태 또는 이하의 실시형태 중 하나 이상에 있어서, 상기 제1 층은 TaCo이고, 상기 제2 층은 TaCoO이다.
이상은 당업자가 본 개시내용의 양태를 더 잘 이해할 수 있도록 여러 실시형태 또는 실시예의 특징을 개관한 것이다. 당업자라면 동일한 목적을 달성하기 위한 다른 공정 및 구조를 설계 또는 변형하고/하거나 본 명세서에 소개하는 실시형태들 또는 실시예들의 동일한 효과를 달성하기 위한 기본으로서 본 개시내용을 용이하게 이용할 수 있음을 알 것이다. 또한 당업자라면 그러한 등가의 구조가 본 개시내용의 사상 및 범주에서 벗어나지 않는다는 것과, 본 개시내용의 사상 및 범주에서 일탈하는 일없이 다양한 변화, 대체 및 변형이 이루어질 수 있다는 것을 인식할 것이다.
<부기>
1. 반사 마스크에 있어서,
기판과,
상기 기판 상에 배치된 반사 다층(reflective multilayer)과,
상기 반사 다층 상에 배치된 캐핑층과,
상기 캐핑층 상에 배치된 흡수체층(absorber layer)을 포함하고,
상기 흡수체층은 제1 Cr계 층과 상기 제1 Cr계 층과는 상이한 제2 Cr계 층의 하나 이상의 교번 쌍을 포함하는, 반사 마스크.
2. 제1항에 있어서, 상기 제1 Cr계 층은 CrN 또는 CrNiN이고, 상기 제2 Cr계 층은 CrON인, 반사 마스크.
3. 제2항에 있어서, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 쌍의 수는 3 내지 6인, 반사 마스크.
4. 제2항에 있어서, 상기 흡수체층은 상기 제1 Cr계 층과 상기 제2 Cr계 층의 3개 쌍을 포함하는, 반사 마스크.
5. 제4항에 있어서, 상기 3개 쌍의 각 쌍에서, 상기 제2 Cr계 층은 상기 제1 Cr계 층 상에 배치되는, 반사 마스크.
6. 제5항에 있어서, 상기 흡수체층은 상기 3개 쌍 상에 배치된 상부 Cr계 층을 더 포함하고, 상기 상부 Cr계 층은 상기 제1 Cr계 층과 동일한 재료로 제조되는, 반사 마스크.
7. 제4항에 있어서, 상기 3개 쌍의 각 쌍에서, 상기 제1 Cr계 층은 상기 제2 Cr계 층 상에 배치되는, 반사 마스크.
8. 제7항에 있어서, 상기 흡수체층은 상기 3개 쌍 상에 배치된 상부 Cr계 층을 더 포함하고, 상기 상부 Cr계 층은 상기 제2 Cr계 층과 동일한 재료로 제조되는, 반사 마스크.
9. 제2항에 있어서, 상기 흡수체층은 상기 캐핑층과, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 하나 이상의 교번 쌍과의 사이에 Ta계 층을 더 포함하는, 반사 마스크.
10. 반사 마스크에 있어서,
기판과,
상기 기판 상에 배치된 반사 다층과,
상기 반사 다층 상에 배치된 캐핑층과,
상기 캐핑층 상에 배치된 흡수체층을 포함하고,
상기 흡수체층은 교번으로 적층으로 CrN층과 CrON층을 포함하며,
상기 CrN층 각각의 두께는 1 nm 내지 8 nm의 범위이고, 상기 CrON층 각각의 두께는 1 nm 내지 8 nm의 범위인, 반사 마스크.
11. 제10항에 있어서, 상기 흡수체층의 총 두께는 45 nm 미만인, 반사 마스크.
12. 제10항에 있어서, 상기 CrN층 각각의 두께는 상기 CrON층 각각의 두께보다 큰, 반사 마스크.
13. 제10항에 있어서, 상기 CrN층 각각의 두께는 6 nm±10 %이고, 상기 CrON층 각각의 두께는 5 nm±10 %인, 반사 마스크.
14. 제13항에 있어서, 상기 CrN층의 수와 상기 CrON층의 수는 3이고, 상기 CrN층의 하나가 상기 캐핑층과 접촉하는, 반사 마스크.
15. 제10항에 있어서, 상기 CrN층의 수는 상기 CrON층의 수와 동일한, 반사 마스크.
16. 제10항에 있어서, 상기 CrN층의 수와 상기 CrON층의 수의 차이는 1인, 반사 마스크.
17. 반사 마스크를 제조하는 방법에 있어서,
마스크 블랭크 위에 포토레지스트층을 형성하는 단계로서, 상기 마스크 블랭크는 기판과, 상기 기판 상의 반사 다층과, 상기 반사 다층 상의 캐핑층과, 상기 캐핑층 상의 흡수체층과, 하드 마스크층을 포함하는 것인, 상기 포토레지스트층 형성 단계와,
상기 포토레지스트층을 패터닝하는 단계와,
상기 패터닝된 포토레지스트층을 사용하여 상기 하드 마스크층을 패터닝하는 단계와,
상기 패터닝된 하드 마스크층을 사용하여 상기 흡수체층을 패터닝하는 단계를 포함하고,
상기 흡수체층은 제1 Cr계 층과 상기 제1 Cr계 층과는 상이한 제2 Cr계 층의 2개 이상의 쌍을 포함하는, 반사 마스크 제조 방법.
18. 제17항에 있어서,
상기 흡수체층은 상기 캐핑층과, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 2개 이상의 쌍과의 사이에 Ta계 층을 더 포함하고,
상기 하드 마스크층은 Ta계 재료로 제조되는, 반사 마스크 제조 방법.
19. 제18항에 있어서,
상기 하드 마스크층이 패터닝된 후에, 상기 패터닝된 포토레지스트층은 제거되고,
상기 흡수체층을 패터닝하는 단계는,
공간을 형성하기 위해 상기 제1 Cr계 층과 상기 제2 Cr계 층의 2개 이상의 쌍을 패터닝하는 단계와,
상기 공간에서 상기 하드 마스크층과 상기 Ta계 층의 일부를 제거하는 단계를 포함하는, 반사 마스크 제조 방법.
20. 제17항에 있어서, 상기 제1 Cr계 층은 CrN이고, 상기 제2 Cr계 층은 CrON인, 반사 마스크 제조 방법.

Claims (10)

  1. 반사 마스크에 있어서,
    기판과,
    상기 기판 상에 배치된 반사 다층(reflective multilayer)과,
    상기 반사 다층 상에 배치된 캐핑층과,
    상기 캐핑층 상에 배치된 흡수체층(absorber layer)
    을 포함하고,
    상기 흡수체층은 제1 Cr계 층과 상기 제1 Cr계 층과는 상이한 제2 Cr계 층의 하나 이상의 교번 쌍(alternating pair)을 포함하는, 반사 마스크.
  2. 제1항에 있어서, 상기 제1 Cr계 층은 CrN 또는 CrNiN이고, 상기 제2 Cr계 층은 CrON인, 반사 마스크.
  3. 제2항에 있어서, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 쌍의 수는 3 내지 6인, 반사 마스크.
  4. 제2항에 있어서, 상기 흡수체층은 상기 제1 Cr계 층과 상기 제2 Cr계 층의 3개 쌍을 포함하는, 반사 마스크.
  5. 제2항에 있어서, 상기 흡수체층은 상기 캐핑층과, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 하나 이상의 교번 쌍과의 사이에 Ta계 층을 더 포함하는, 반사 마스크.
  6. 반사 마스크에 있어서,
    기판과,
    상기 기판 상에 배치된 반사 다층과,
    상기 반사 다층 상에 배치된 캐핑층과,
    상기 캐핑층 상에 배치된 흡수체층
    을 포함하고,
    상기 흡수체층은 교번으로 적층으로 CrN층과 CrON층을 포함하며,
    상기 CrN층 각각의 두께는 1 nm 내지 8 nm의 범위이고, 상기 CrON층 각각의 두께는 1 nm 내지 8 nm의 범위인, 반사 마스크.
  7. 반사 마스크를 제조하는 방법에 있어서,
    마스크 블랭크 위에 포토레지스트층을 형성하는 단계로서, 상기 마스크 블랭크는 기판과, 상기 기판 상의 반사 다층과, 상기 반사 다층 상의 캐핑층과, 상기 캐핑층 상의 흡수체층과, 하드 마스크층을 포함하는 것인, 상기 포토레지스트층 형성 단계와,
    상기 포토레지스트층을 패터닝하는 단계와,
    상기 패터닝된 포토레지스트층을 사용하여 상기 하드 마스크층을 패터닝하는 단계와,
    상기 패터닝된 하드 마스크층을 사용하여 상기 흡수체층을 패터닝하는 단계
    를 포함하고,
    상기 흡수체층은 제1 Cr계 층과 상기 제1 Cr계 층과는 상이한 제2 Cr계 층의 2개 이상의 쌍을 포함하는, 반사 마스크 제조 방법.
  8. 제7항에 있어서,
    상기 흡수체층은 상기 캐핑층과, 상기 제1 Cr계 층과 상기 제2 Cr계 층의 2개 이상의 쌍과의 사이에 Ta계 층을 더 포함하고,
    상기 하드 마스크층은 Ta계 재료로 제조되는, 반사 마스크 제조 방법.
  9. 제8항에 있어서,
    상기 하드 마스크층이 패터닝된 후에, 상기 패터닝된 포토레지스트층은 제거되고,
    상기 흡수체층을 패터닝하는 단계는,
    공간을 형성하기 위해 상기 제1 Cr계 층과 상기 제2 Cr계 층의 2개 이상의 쌍을 패터닝하는 단계와,
    상기 공간에서 상기 하드 마스크층과 상기 Ta계 층의 일부를 제거하는 단계를 포함하는, 반사 마스크 제조 방법.
  10. 제7항에 있어서, 상기 제1 Cr계 층은 CrN이고, 상기 제2 Cr계 층은 CrON인, 반사 마스크 제조 방법.
KR1020200052846A 2020-01-16 2020-04-29 Euv 포토 마스크 및 그 제조 방법 KR102359753B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/744,732 2020-01-16
US16/744,732 US11204545B2 (en) 2020-01-16 2020-01-16 EUV photo masks and manufacturing method thereof

Publications (2)

Publication Number Publication Date
KR20210093137A true KR20210093137A (ko) 2021-07-27
KR102359753B1 KR102359753B1 (ko) 2022-02-08

Family

ID=76650469

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200052846A KR102359753B1 (ko) 2020-01-16 2020-04-29 Euv 포토 마스크 및 그 제조 방법

Country Status (5)

Country Link
US (3) US11204545B2 (ko)
KR (1) KR102359753B1 (ko)
CN (1) CN113126420A (ko)
DE (1) DE102020102450B4 (ko)
TW (1) TWI742950B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11619875B2 (en) 2020-06-29 2023-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
WO2023076222A1 (en) * 2021-10-26 2023-05-04 Geminatio Inc. Local shadow masking for multi-color exposures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140144610A (ko) * 2013-06-11 2014-12-19 삼성전자주식회사 포토마스크, 포토마스크의 레지스트레이션 에러 보정 방법, 포토마스크를 이용하여 제조된 집적 회로 및 그 제조 방법
KR20150059615A (ko) * 2013-11-22 2015-06-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 극자외선 리소그래피 공정 및 마스크
JP2019086802A (ja) * 2019-03-15 2019-06-06 Hoya株式会社 反射型マスクブランク及び反射型マスク
JP2019527382A (ja) * 2016-07-27 2019-09-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 合金吸収体を有する極紫外線マスクブランク、及びその製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046000A1 (de) 2006-09-27 2007-08-30 Schott Ag EUV Maskenblank und Verfahren zu dessen Herstellung
KR101802721B1 (ko) * 2008-12-26 2017-11-28 호야 가부시키가이샤 반사형 마스크 블랭크 및 반사형 마스크의 제조 방법
JP6125772B2 (ja) 2011-09-28 2017-05-10 Hoya株式会社 反射型マスクブランク、反射型マスクおよび反射型マスクの製造方法
JP5921953B2 (ja) 2012-03-28 2016-05-24 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
JP6287099B2 (ja) * 2013-05-31 2018-03-07 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
US9195132B2 (en) 2014-01-30 2015-11-24 Globalfoundries Inc. Mask structures and methods of manufacturing
DE102014222026B3 (de) 2014-10-29 2016-02-18 Physik Instrumente (Pi) Gmbh & Co. Kg Ultraschallmotor
TWI774375B (zh) * 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
JP7082606B2 (ja) * 2017-03-02 2022-06-08 Hoya株式会社 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
US11086215B2 (en) 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140144610A (ko) * 2013-06-11 2014-12-19 삼성전자주식회사 포토마스크, 포토마스크의 레지스트레이션 에러 보정 방법, 포토마스크를 이용하여 제조된 집적 회로 및 그 제조 방법
KR20150059615A (ko) * 2013-11-22 2015-06-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 극자외선 리소그래피 공정 및 마스크
JP2019527382A (ja) * 2016-07-27 2019-09-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 合金吸収体を有する極紫外線マスクブランク、及びその製造方法
JP2019086802A (ja) * 2019-03-15 2019-06-06 Hoya株式会社 反射型マスクブランク及び反射型マスク

Also Published As

Publication number Publication date
US11726399B2 (en) 2023-08-15
KR102359753B1 (ko) 2022-02-08
US20230350283A1 (en) 2023-11-02
DE102020102450A1 (de) 2021-07-22
CN113126420A (zh) 2021-07-16
TW202129705A (zh) 2021-08-01
US20210223679A1 (en) 2021-07-22
US20220113620A1 (en) 2022-04-14
US11204545B2 (en) 2021-12-21
TWI742950B (zh) 2021-10-11
DE102020102450B4 (de) 2022-02-10

Similar Documents

Publication Publication Date Title
US20230350283A1 (en) Euv photo masks and manufacturing method thereof
TW202020552A (zh) 光罩之製造方法
US20210373430A1 (en) Euv photo masks and manufacturing method thereof
US11886109B2 (en) EUV photo masks and manufacturing method thereof
US20230251563A1 (en) Euv photo masks and manufacturing method thereof
US11829062B2 (en) EUV photo masks and manufacturing method thereof
TWI443758B (zh) 形成閘極導體結構的方法
TWI767567B (zh) 反射遮罩及其製造方法
US20230314927A1 (en) Euv photo masks and manufacturing method thereof
US20230375910A1 (en) Euv photo masks and manufacturing method thereof
US20230032950A1 (en) Euv photo masks and manufacturing method thereof
US12013630B2 (en) EUV photo masks and manufacturing method thereof
US20230305381A1 (en) Euv photo masks and manufacturing method thereof
CN116626981A (zh) Euv光掩模及其制造方法
CN116560175A (zh) Euv光掩模及其制造方法
CN117250822A (zh) Euv光掩模及其制造方法

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant