DE102020102450A1 - EUV-Fotomasken und Herstellungsverfahren von diesen - Google Patents

EUV-Fotomasken und Herstellungsverfahren von diesen Download PDF

Info

Publication number
DE102020102450A1
DE102020102450A1 DE102020102450.9A DE102020102450A DE102020102450A1 DE 102020102450 A1 DE102020102450 A1 DE 102020102450A1 DE 102020102450 A DE102020102450 A DE 102020102450A DE 102020102450 A1 DE102020102450 A1 DE 102020102450A1
Authority
DE
Germany
Prior art keywords
layer
layers
absorber
based layer
reflective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102020102450.9A
Other languages
English (en)
Other versions
DE102020102450B4 (de
Inventor
Pei-Cheng Hsu
Ching-Huang Chen
Hung-Yi Tsai
Ming-Wei Chen
Ta-Cheng Lien
Hsin-Chang Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020102450A1 publication Critical patent/DE102020102450A1/de
Application granted granted Critical
Publication of DE102020102450B4 publication Critical patent/DE102020102450B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Eine reflektierende Maske weist ein Substrat, eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist, eine Abdeckschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist, und eine Absorberschicht, die auf der Abdeckschicht angeordnet ist, auf. Die Absorberschicht weist ein oder mehrere abwechselnde Paare einer ersten Cr-basierten Schicht und einer zweiten Cr-basierten Schicht, die von der ersten Cr-basierten Schicht verschieden ist, auf.

Description

  • HINTERGRUND
  • Fotolithografische Operationen stellen entscheidende Operationen im Halbleiterherstellungsprozess dar. Fotolithografische Techniken umfassen Ultraviolett-Lithografie, DUV-Lithografie (tiefes Ultraviolett) und EUV-Lithografie (EUVL) (EUV: extremes Ultraviolett). Die Fotomaske stellt eine bedeutende Komponente bei fotolithografischen Vorgängen dar. Es ist wichtig, EUV-Fotomasken, die einen hohen Kontrast aufweisen, mit einem hohen Reflexionsvermögen und einem hohen Absorptionsvermögen zu fertigen.
  • Figurenliste
  • Die vorliegende Offenbarung wird am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Figuren gelesen wird. Es wird betont, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind und lediglich zu Veranschaulichungszwecken verwendet werden. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein
    • 1 zeigt eine EUV-Fotomaskenvorform gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 2A, 2B, 2C, 2D, 2E, 2F und 2G zeigen schematisch ein Verfahren zum Herstellen einer EUV-Fotomaske gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 3A, 3B, 3C und 3D zeigen Querschnittsansichten von EUV-Fotomasken gemäß Ausführungsformen der vorliegenden Offenbarung.
    • 4A, 4B, 4C, 4D, 4E, 4F und 4G zeigen einen sequentiellen Herstellungsvorgang einer EUV-Fotomaske gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 5A, 5B, 5C, 5D, 5E, 5F und 5G zeigen einen sequentiellen Herstellungsvorgang einer EUV-Fotomaske gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 6A, 6B und 6C zeigen Querschnittsansichten einer mehrschichtigen Struktur einer Absorberschicht gemäß einer anderen Ausführungsform der vorliegenden Offenbarung.
    • 7 zeigt Simulationsergebnisse des Reflexionsvermögens verschiedener Abso rberstrukturen.
    • 8A zeigt ein Ablaufdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung, und 8B, 8C, 8D und 8E zeigen einen sequentiellen Herstellungsvorgang eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß Ausführungsformen der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Es versteht sich, dass die nachstehende Offenbarung viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Erfindung bereitstellt. Konkrete Ausführungsformen oder Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel sind Abmessungen von Elementen nicht auf den offenbarten Bereich oder die offenbarten Werte beschränkt, sondern können von Prozessbedingungen und/oder gewünschten Eigenschaften der Vorrichtung abhängig sein. Des Weiteren kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element ausgebildet werden können, so dass das erste und das zweite Element möglicherweise nicht in direktem Kontakt stehen. Verschiedene Elemente können der Einfachheit und Klarheit halber beliebig in verschiedenen Maßstäben gezeichnet sein.
  • Außerdem können hierin Begriffe, die sich auf räumliche Relativität beziehen, wie z.B. „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, zur Erleichterung der Besprechung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal (zu anderen Elementen oder Merkmalen), wie in den Figuren dargestellt, zu beschreiben. Die Begriffe, die räumliche Relativität betreffen, sollen verschiedene Ausrichtungen der verwendeten oder betriebenen Vorrichtung zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann auf eine andere Weise ausgerichtet sein (um 90 Grad gedreht oder anders ausgerichtet) und die hier verwendeten Bezeichnungen, die räumliche Relativität betreffen, können gleichermaßen dementsprechend ausgelegt werden. Außerdem kann der Begriff „gefertigt aus“ entweder „umfassend“ oder „bestehend aus“ bedeuten. In der vorliegenden Offenbarung bedeutet ein Ausdruck „eines von A, B und C“ „A, B und/oder C“ (A, B, C, A und B, A und C, B und C, oder A, B und C) und bedeutet nicht ein Element von A, ein Element von B und ein Element von C, sofern nicht anders angegeben.
  • Ausführungsformen der vorliegenden Offenbarung stellen ein Verfahren zum Herstellen einer EUV-Fotomaske bereit. Insbesondere stellt die vorliegende Offenbarung Techniken bereit, um Schäden an einer leitfähigen Rückseitenschicht einer EUV-Fotomaske zu verhindern oder unterdrücken.
  • EUV-Lithografie (EUVL) verwendet Scanner, die Licht im extremen Ultraviolett-Bereich (EUV-Bereich) verwenden und die eine Wellenlänge von ungefähr 1 nm bis ungefähr 100 nm, zum Beispiel 13,5 nm, aufweisen. Die Maske ist eine wichtige Komponente eines EUVL-Systems. Da die optischen Materialien gegenüber EUV-Strahlung nicht durchlässig sind, sind EUV-Fotomasken reflektierende Masken. Schaltungsstrukturen werden in einer Absorberschicht ausgebildet, die über der reflektierenden Struktur angeordnet ist. Der Absorber weist ein niedriges EUV-Reflexionsvermögen auf, zum Beispiel niedriger als 3 bis 5 %.
  • Die vorliegende Offenbarung stellt eine reflektierende EUV-Fotomaske bereit, die eine schwach reflektierende (stark absorbierende) Absorberstruktur aufweist.
  • 1 zeigt eine reflektierende EUV-Fotomaskenvorform gemäß einer Ausführungsform der vorliegenden Offenbarung. In einigen Ausführungsformen wird die EUV-Fotomaske mit Schaltungsstrukturen aus einer EUV-Fotomaskenvorform 5 ausgebildet. Die EUV-Fotomaskenvorform 5 weist ein Substrat 10, einen mehrschichtigen Mo/Si-Stapel 15 aus mehreren abwechselnden Schichten aus Silizium und Molybdän, eine Abdeckschicht 20, eine Absorberschicht 25 und eine Hartmaskenschicht 30 auf. Außerdem wird eine leitfähige Rückseitenschicht 45 auf der Rückseite des Substrats 10 ausgebildet, wie in 1 dargestellt.
  • Das Substrat 10 wird in einigen Ausführungsformen aus einem Material mit geringer Wärmeausdehnung ausgebildet. In einigen Ausführungsformen ist das Substrat Glas oder Quarz mit geringer Wärmeausdehnung, wie z.B. Kieselglas oder Quarzglas. In einigen Ausführungsformen transmittiert das Glassubstrat mit geringer Wärmeausdehnung Licht bei sichtbaren Wellenlängen, einen Teil der Infrarotwellenlängen in der Nähe des sichtbaren Spektrums (nahes Infrarot) und einen Abschnitt der ultravioletten Wellenlängen. In einigen Ausführungsformen absorbiert das Glassubstrat mit geringer Wärmeausdehnung Wellenlängen im extremen Ultraviolett und Wellenlängen im tiefen Ultraviolett in der Nähe des extremen Ultravioletts. In einigen Ausführungsformen beträgt die Größe des Substrats 10 152 mm × 152 mm mit einer Dicke von ungefähr 20 mm.
  • In einigen Ausführungsformen weist der mehrschichtige Mo/Si-Stapel 15 ungefähr 30 abwechselnde Schichten jeweils aus Silizium und Molybdän bis ungefähr 60 abwechselnde Schichten jeweils aus Silizium und Molybdän auf. In bestimmten Ausführungsformen werden ungefähr 40 bis ungefähr 50 abwechselnde Schichten jeweils aus Silizium und Molybdän ausgebildet. In einigen Ausführungsformen ist das Reflexionsvermögen für interessierende Wellenlängen, z.B. 13,5 nm, höher als ungefähr 70 %. In einigen Ausführungsformen werden die Silizium- und Molybdän-Schichten durch eine chemische Gasphasenabscheidung (CVD) eine Plasma-unterstützte CVD (PECVD), eine Atomlagenabscheidung (ALD), eine physikalische Gasphasenabscheidung (PVD) (Sputtern) oder ein anderes geeignetes Filmausbildungsverfahren ausgebildet. Jede Schicht aus Silizium und Molybdän ist ungefähr 2 nm bis ungefähr 10 nm dick. In einigen Ausführungsformen weisen die Schichten aus Silizium und Molybdän ungefähr die gleiche Dicke auf. In einigen Ausführungsformen weisen die Schichten aus Silizium und Molybdän verschiedene Dicken auf. In anderen Ausführungsformen beträgt die Dicke jeder Siliziumschicht ungefähr 4 nm und die Dicke jeder Molybdänschicht beträgt ungefähr 3 nm.
  • In anderen Ausführungsformen weist der mehrschichtige Stapel 15 abwechselnde Molybdänschichten und Berylliumschichten auf. In anderen Ausführungsformen liegt die Anzahl von Schichten im mehrschichtigen Stapel 15 in einem Bereich von ungefähr 20 bis ungefähr 100, obwohl eine beliebige Anzahl von Schichten zulässig ist, sofern ein hinreichendes Reflexionsvermögen für ein Abbilden des Zielsubstrats aufrechterhalten wird. In einigen Ausführungsformen ist das Reflexionsvermögen für interessierende Wellenlängen, z.B. 13,5 nm, höher als ungefähr 70 %. In einigen Ausführungsformen weist der mehrschichtige Stapel 15 ungefähr 30 bis ungefähr 60 abwechselnde Schichten aus Mo und Be auf. In anderen Ausführungsformen der vorliegenden Offenbarung weist der mehrschichtige Stapel 15 ungefähr 40 bis ungefähr 50 abwechselnde Schichten aus jeweils Mo und Be auf.
  • Die Abdeckschicht 20 wird in einigen Ausführungsformen über der Mo/Si-Mehrfachschicht 15 angeordnet, um eine Oxidation des mehrschichtigen Stapels 15 zu verhindern. In einigen Ausführungsformen wird die Abdeckschicht 20 aus Ruthenium, einer Ruthenium-Legierung (z.B. RuB, RuSi oder RuNb) oder einem Rutheniumoxid (z.B. RuO2, oder RuNbO) ausgebildet, das/die eine Dicke von ungefähr 2 nm bis ungefähr 10 nm aufweist. In bestimmten Ausführungsformen beträgt die Dicke der Abdeckschicht 20 von ungefähr 2 nm bis ungefähr 4 nm. In einigen Ausführungsformen weist die Abdeckschicht 20 eine Dicke von 3,5 nm ± 10 % auf. In einigen Ausführungsformen wird die Abdeckschicht 20 durch eine chemische Gasphasenabscheidung, eine Plasma-unterstützte chemische Gasphasenabscheidung, eine Atomlagenabscheidung, eine physikalische Gasphasenabscheidung (z.B. Sputtern) oder ein beliebiges anderes geeignetes Filmausbildungsverfahren ausgebildet. In anderen Ausführungsformen wird eine Si-Schicht als die Abdeckschicht 20 verwendet.
  • Die Absorberschicht 25 wird über der Abdeckschicht 20 angeordnet. In Ausführungsformen der vorliegenden Offenbarung weist die Absorberschicht 25 eine mehrschichtige Struktur auf, wie nachstehend beschrieben. In anderen Ausführungsformen weist die Absorberschicht 25 eine Schicht aus Co, Te, Hf und/oder Ni oder Legierungen davon auf.
  • In einigen Ausführungsformen wird fakultativ eine Antireflexionsschicht (nicht dargestellt) über der Absorberschicht 25 angeordnet. Die Antireflexionsschicht wird in einigen Ausführungsformen aus einem Siliziumoxid hergestellt und weist eine Dicke von ungefähr 2 nm bis ungefähr 10 nm auf. In anderen Ausführungsformen wird eine TaBO-Schicht, die eine Dicke in einem Bereich von ungefähr 12 nm bis ungefähr 18 nm aufweist, als die Antireflexionsschicht verwendet. In einigen Ausführungsformen beträgt die Dicke der Antireflexionsschicht von ungefähr 3 nm bis ungefähr 6 nm. In einigen Ausführungsformen wird die Antireflexionsschicht durch eine chemische Gasphasenabscheidung, eine Plasma-unterstützte chemische Gasphasenabscheidung, eine Atomlagenabscheidung, eine physikalische Gasphasenabscheidung oder ein beliebiges anderes geeignetes Filmausbildungsverfahren ausgebildet.
  • Die Hartmaskenschicht 30 wird in einigen Ausführungsformen über der Absorberschicht 25 angeordnet. Die Hartmaskenschicht 30 wird in einigen Ausführungsformen über der Antireflexionsschicht ausgebildet. In einigen Ausführungsformen wird die Hartmaskenschicht 30 aus einem Ta-basierten Material, wie z.B. TaB, TaO, TaBO oder TaBN, hergestellt. In einigen Ausführungsformen wird die Hartmaskenschicht 30 aus Silizium, einer Silizium-basierten Zusammensetzung (z.B. SiN oder SiON), einer Ruthenium-basierten Zusammensetzung (Ru oder RuB) hergestellt. Die Hartmaskenschicht 30 weist eine Dicke von ungefähr 4 nm bis ungefähr 20 nm auf. In einigen Ausführungsformen wird die Hartmaskenschicht 30 durch eine chemische Gasphasenabscheidung, eine Plasma-unterstützte chemische Gasphasenabscheidung, eine Atomlagenabscheidung, eine physikalische Gasphasenabscheidung oder ein anderes geeignetes Filmausbildungsverfahren ausgebildet.
  • In einigen Ausführungsformen wird die leitfähige Rückseitenschicht 45 auf einer zweiten Hauptfläche des Substrats 10, die der ersten Hauptfläche des Substrats 10, auf der die Mo/Si-Mehrfachschicht 15 ausgebildet ist, ausgebildet. In einigen Ausführungsformen wird die leitfähige Rückseitenschicht 45 aus TaB (Tantalborid) oder einem anderen Ta-basierten leitfähigen Material hergestellt. In einigen Ausführungsformen ist das Tantalborid kristallin. Das kristalline Tantalbordid weist TaB, Ta5B6, Ta3B4 und TaB2 auf. In anderen Ausführungsformen ist das Tantalborid polykristallin oder amorph. In anderen Ausführungsformen wird die leitfähige Rückseitenschicht 45 aus einem Cr-basierten leitfähigen Material (CrN oder CrON) hergestellt. In anderen Ausführungsformen ist ein Flächenwiderstand der leitfähigen Rückseitenschicht 45 kleiner gleich 20 Ω/□. In bestimmten Ausführungsformen ist der Flächenwiderstand der leitfähigen Rückseitenschicht 45 größer gleich 0,1 Ω/□. In anderen Ausführungsformen ist eine Oberflächenrauheit Ra der leitfähigen Rückseitenschicht 45 kleiner gleich 0,25 nm. In bestimmten Ausführungsformen ist die Oberflächenrauheit Ra der leitfähigen Rückseitenschicht 45 größer gleich 0,05 nm. Außerdem ist in einigen Ausführungsformen die Flachheit der leitfähigen Rückseitenschicht 45 kleiner gleich 50 nm (innerhalb der EUV-Fotomaske). In einigen Ausführungsformen beträgt die Flachheit der leitfähigen Rückseitenschicht 45 mehr als 1 nm. Eine Dicke der leitfähigen Rückseitenschicht 45 liegt in einigen Ausführungsformen in einem Bereich von ungefähr 50 nm bis ungefähr 400 nm. In anderen Ausführungsformen weist die leitfähige Rückseitenschicht 45 eine Dicke von ungefähr 50 nm bis ungefähr 100 nm auf. In bestimmten Ausführungsformen liegt die Dicke in einem Bereich von ungefähr 65 nm bis ungefähr 75 nm. In einigen Ausführungsformen wird die leitfähige Rückseitenschicht 45 durch eine atmosphärische chemische Gasphasenabscheidung (CVD), eine Niederdruck-CVD, eine Plasma-unterstützte CVD, eine Laser-unterstützte CVD, eine Atomlagenabscheidung (ALD), eine Molekularstrahlepitaxie (MBE), eine physikalische Gasphasenabscheidung, die eine thermische Abscheidung, eine Laserablation, eine Elektronstrahlverdampfung, eine Ionenstrahlunterstützte Verdampfung und Sputtern aufweist, oder ein beliebiges anderes geeignetes Filmausbildungsverfahren ausgebildet. In Fällen von CVD weisen in einigen Ausführungsformen Quellgase TaC15 und BC13 auf.
  • 2A bis 2G zeigen schematisch ein Verfahren zum Herstellen einer EUV-Fotomaske 5 zum Verwenden bei einer EUV-Lithografie (EUVL) (extremes Ultraviolett). Es versteht sich, dass für zusätzliche Ausführungsformen des Verfahrens zusätzliche Vorgänge vor, während und nach den in 2A bis 2G dargestellten Prozessen vorgesehen werden können, und einige der nachstehend beschriebenen Vorgänge ersetzt oder eliminiert werden können. Die Reihenfolge der Vorgänge/Prozesse kann austauschbar sein.
  • Beim Herstellen einer EUV-Fotomaske 5 wird eine erste Fotolackschicht 35 über der Hartmaskenschicht 30 der EUV-Fotomaskenvorform ausgebildet, und die Fotolackschicht 35 wird selektiv aktinischer Strahlung ausgesetzt. Bevor die erste Fotolackschicht 35 ausgebildet wird, wird die EUV-Fotomaskenvorform überprüft. Die selektiv belichtete erste Fotolackschicht 35 wird entwickelt, um eine Struktur 40 in der ersten Fotolackschicht 35 auszubilden. In einigen Ausführungsformen ist die aktinische Strahlung ein Elektronenstrahl oder ein Ionenstrahl. In einigen Ausführungsformen entspricht die Struktur 40 einer Struktur von Halbleitervorrichtungsmerkmalen, für die die EUV-Fotomaske 5 in anschließenden Vorgängen zum Ausbilden verwendet wird.
  • Als Nächstes wird die Struktur 40 in der ersten Fotolackschicht 35 in die Hartmaskenschicht 30 erweitert, wodurch eine Struktur 41 in der Hartmaskenschicht 30 ausgebildet wird, die Abschnitte der Absorberschicht 25 freilegt, wie in 2B dargestellt. Die in die Hartmaskenschicht 30 erweiterte Struktur 41 wird in einigen Ausführungsformen durch Ätzen unter Verwendung eines geeigneten Nass- oder Trockenätzmittels, das gegenüber der Hartmaskenschicht 30 selektiv ist, ausgebildet. Nachdem die Struktur 41 durch die Hartmaskenschicht 30 ausgebildet wurde, wird die erste Fotolackschicht 35 durch einen Fotolack-Stripper entfernt, um die obere Fläche der Hartmaskenschicht 30 freizulegen, wie in 2C dargestellt.
  • Dann wird die Struktur 41 in der Hartmaskenschicht 30 in die Absorberschicht 25 erweitert, wodurch eine Struktur 42 in der Absorberschicht 25 ausgebildet wird, die Abschnitte der Abdeckschicht 20 freilegt, wie in 2D dargestellt. Die in die Absorberschicht 25 erweiterte Struktur 42 wird in einigen Ausführungsformen durch Ätzen unter Verwendung eines geeigneten Nass- oder Trockenätzmittels, das gegenüber der Absorberschicht 25 selektiv ist, ausgebildet. In einigen Ausführungsformen wird ein Plasma-Trockenätzen verwendet.
  • Wie in 2E dargestellt, wird eine zweite Fotolackschicht 45 über der Absorberschicht 25 ausgebildet, die die Struktur 42 in der Absorberschicht 25 füllt. Die zweite Fotolackschicht 45 wird selektiv einer aktinischen Strahlung, wie z.B. einem Elektronenstrahl oder einer UV-Strahlung, ausgesetzt. Die selektiv belichtete zweite Fotolackschicht 45 wird entwickelt, um eine Struktur 50 in der zweiten Fotolackschicht 45 auszubilden. Die Struktur 50 entspricht einer schwarzen Umrandung, die die Schaltungsstrukturen umgibt. Eine schwarze Umrandung stellt einen Rahmenformbereich dar, der durch Entfernen aller Mehrfachschichten auf der EUV-Fotomaske im Gebiet um einen Schaltungsstrukturbereich erzeugt wird. Er wird erzeugt, um zu verhindern, dass benachbarte Felder belichtet werden, wenn eine EUV-Fotomaske auf einen Wafer gedruckt wird. Die Breite der schwarzen Umrandung liegt in einigen Ausführungsformen in einem Bereich von ungefähr 1 mm bis ungefähr 5 mm.
  • Als Nächstes wird die Struktur 50 in der zweiten Fotolackschicht 45 in die Absorberschicht 25, die Abdeckschicht 20 und die Mo/Si-Mehrfachschicht erweitert, wodurch eine Struktur 51 in der Absorberschicht 25, der Abdeckschicht 20 und der Mo/Si-Mehrfachschicht 15 ausgebildet wird, die Abschnitte des Substrats 10 freilegt, wie in 2F dargestellt. Die Struktur 51 wird in einigen Ausführungsformen durch Ätzen unter Verwendung eines oder mehrerer geeigneter Nass- oder Trockenätzmittel ausgebildet, die gegenüber jeder der geätzten Schichten selektiv sind. In einigen Ausführungsformen wird ein Plasma-Trockenätzen verwendet.
  • Dann wird die zweite Fotolackschicht 45 durch einen geeigneten Fotolack-Stripper entfernt, um die obere Fläche der Absorberschicht 25 freizulegen. Die Struktur 51 in der Absorberschicht 25, der Abdeckschicht 20 und der Mo/Si-Mehrfachschicht 15 definiert in einigen Ausführungsformen der Offenbarung eine schwarze Umrandung der Fotomaske 5, wie in 2G dargestellt. Nach dem Entfernen der zweiten Fotolackschicht wird die Fotomaske 5 einem Reinigungsvorgang, einer Überprüfung unterzogen, und die Fotomaske 5 wird nach Bedarf repariert, um eine fertige Fotomaske 5 bereitzustellen.
  • 3A, 3B, 3C und 3D zeigen Querschnittsansichten von EUV-Fotomasken gemäß Ausführungsformen der vorliegenden Offenbarung.
  • In der vorliegenden Offenbarung weist die Absorberschicht 25 eine mehrschichtige Struktur auf, die eine erste Schicht 120 und eine zweite Schicht 125 aufweist, die abwechselnd gestapelt sind. In einigen Ausführungsformen weist die Absorberschicht 25 ein oder mehrere abwechselnde Paare aus einer ersten Cr-basierten Schicht als der ersten Schicht 120 und einer zweiten Cr-basierten Schicht als der zweiten Schicht 125 auf. In einigen Ausführungsformen ist die erste Cr-basierte Schicht CrN, und die zweite Cr-basierte Schicht ist CrON. In einigen Ausführungsformen liegt ein Verhältnis von O und N im CrON in einem Bereich von ungefähr 0,2:0,8 bis ungefähr 0,8:0,2. In anderen Ausführungsformen liegt das Verhältnis von O und N im CrON in einem Bereich von ungefähr 0,4:0,6 bis ungefähr 0,6:0,4. In einigen Ausführungsformen ist die Menge von O (Atomprozent) größer gleich der Menge von N, und in anderen Ausführungsformen ist die Menge von O kleiner als die Menge von N. In einigen Ausführungsformen ist die erste Cr-basierte Schicht CrxNi1-xN. In einigen Ausführungsformen gilt O ≤ x < 0,5 und in anderen Ausführungsformen gilt 0,5 ≤ × ≤ 1,0. In einigen Ausführungsformen ist das CrN-Material ein reines CrN oder eine Mischung aus Cr2N und CrN. Im Allgemeinen ist Cr2N härter als CrN und weist daher eine niedrigere Ätzrate auf als CrN. Die Zusammensetzung der CrN-Schicht kann geändert werden, indem eine oder mehrere Filmausbildungsbedingungen, wie z.B. Stickstoffdurchflussrate, geändert werden.
  • In einigen Ausführungsformen ist die erste Schicht TaCo, und die zweite Schicht ist TaCoO. In einigen Ausführungsformen ist die erste Schicht Hf, und die zweite Schicht ist Hafniumoxid.
  • In einigen Ausführungsformen sind die erste Schicht 120 und die zweite Schicht 125 amorph.
  • In einigen Ausführungsformen beträgt die Anzahl von Paaren der ersten Schicht 120 und der zweiten Schicht 125 zwei bis sechs. In anderen Ausführungsformen beträgt die Anzahl von Paaren drei, vier oder fünf. In bestimmten Ausführungsformen ist die Anzahl drei. In einigen Ausführungsformen weist die Absorberschicht 25 eine untere Absorberschicht 110 auf, die zwischen der Abdeckschicht 20 und den Paaren der ersten Schicht 120 und der zweiten Schicht 125 angeordnet ist. In einigen Ausführungsformen ist die untere Absorberschicht 110 eine Ta-basierte Schicht, die TaB, TaO, TaBO und/oder TaBN aufweist. In bestimmten Ausführungsformen ist die untere Absorberschicht 110 TaBO. Eine Dicke der unteren Absorberschicht 110 liegt in einigen Ausführungsformen in einem Bereich von ungefähr 0,5 nm bis ungefähr 5 nm, und sie liegt in anderen Ausführungsformen in einem Bereich von ungefähr 1 nm bis ungefähr 3 nm. In einigen Ausführungsformen weist die untere Absorberschicht 110 eine Dicke von 2 nm ± 10 % auf.
  • Wie in 3A dargestellt, wird in einigen Ausführungsformen die zweite Schicht 125 auf der ersten Schicht 120 in jedem Paar (z.B. vier Paaren) angeordnet. In anderen Ausführungsformen wird, wie in 3B dargestellt, die erste Schicht 120 auf der zweiten Schicht 125 in jedem Paar (z.B. vier Paaren) angeordnet. In 3A und 3B ist die Anzahl der ersten Schichten 120 gleich der Anzahl der zweiten Schichten 125.
  • In einigen Ausführungsformen wird, wie in 3C dargestellt, die zweite Schicht 125 auf der ersten Schicht 120 in jedem Paar (z.B. drei Paaren) angeordnet, und eine zusätzliche erste Schicht 120 wird ferner auf den drei Paaren ausgebildet. Daher beträgt die Anzahl von Paaren 3,5. In anderen Ausführungsformen wird, wie in 3D dargestellt, die erste Schicht 120 auf der zweiten Schicht 125 in jedem Paar (z.B. drei Paaren) angeordnet, und eine zusätzliche zweite Schicht 125 wird ferner auf den drei Paaren ausgebildet. Daher beträgt die Anzahl von Paaren 3,5. In 3B und 3C ist die Anzahl der ersten Schichten 120 von der Anzahl der zweiten Schichten 125 verschieden, und der Unterschied der Anzahl beträgt eins.
  • In einigen Ausführungsformen weist die Absorberschicht 25 vier CrN-Schichten als die ersten Schichten 120 und drei CrON-Schichten als die zweiten Schichten 125 auf, die abwechselnd gestapelt sind, wie in 3C dargestellt. In anderen Ausführungsformen weist die Absorberschicht 25 drei CrN-Schichten als die ersten Schichten 120 und vier CrON-Schichten als die zweiten Schichten 125, die abwechselnd gestapelt sind, auf, wie in 3D dargestellt.
  • In einigen Ausführungsformen liegt eine Dicke jeder der ersten Schicht 120 und der zweiten Schicht 125 in einem Bereich von 1 nm bis 8 nm. In einigen Ausführungsformen ist die Dicke jeder der ersten Schicht 120 und der zweiten Schicht 125 kleiner als eine Hälfte der Wellenlänge des EUV-Lichts. In einigen Ausführungsformen sind die Dicke der ersten Schicht 120 und der zweiten Schicht 125 einander gleich. In anderen Ausführungsformen ist die Dicke der ersten Schicht 120 größer als oder kleiner als die Dicke der zweiten Schicht 125. In einigen Ausführungsformen beträgt die Dicke der ersten Schicht 120 und/oder der zweiten Schicht 120 1 nm ± 10 %, 2 nm ± 10 %, 3 nm ± 10 %, 4 nm ± 10 %, 5 nm ± 10 %, 6 nm ± 10 %, 7 nm ± 10 % oder 8 nm ± 10 %. In anderen Ausführungsformen beträgt die Dicke der ersten Schicht 120 und/oder der zweiten Schicht 120 1 nm ± 5%, 2 nm ± 5%, 3 nm ± 5%, 4 nm ± 5%, 5 nm ± 5%, 6 nm ± 5%, 7 nm ± 5% oder 8 nm ± 5%. In einigen Ausführungsformen ist die Dickenschwankung der ersten Schichten 120 in der Absorberschicht 25 kleiner als 10 % der mittleren Dicke der ersten Schichten 120. In einigen Ausführungsformen ist die Dickenschwankung der zweiten Schichten 125 in der Absorberschicht 25 kleiner als 10 % der mittleren Dicke der zweiten Schichten 125. In einigen Ausführungsformen steigt die Dicke der ersten Schicht 120 und/oder der zweiten Schicht 125 mit steigendem Abstand vom Substrat an. In anderen Ausführungsformen nimmt die Dicke der ersten Schicht 120 und/oder der zweiten Schicht 125 mit steigendem Abstand vom Substrat ab.
  • In einigen Ausführungsformen weist die Absorberschicht 25 vier CrN-Schichten, von denen jede eine Dicke von 6 nm ± 10 % aufweist, als die ersten Schichten 120 und drei CrON-Schichten, von denen jede eine Dicke von 5 nm ± 10 % aufweist, als die zweite Schichten 125, die abwechselnd gestapelt sind, auf, wie in 3C dargestellt. In anderen Ausführungsformen weist die Absorberschicht 25 drei CrN-Schichten, die eine Dicke von 6 nm ± 10 % aufweisen, als die ersten Schichten 120 und vier CrON-Schichten, die eine Dicke von 5 nm ± 10 % aufweisen, als die zweiten Schichten 125, die abwechselnd gestapelt sind, auf, wie in 3D dargestellt.
  • In einigen Ausführungsformen beträgt die Gesamtdicke der Absorberschicht 25, die die untere Absorberschicht 110 und die mehreren Schichten der ersten Schicht 120 und der zweiten Schicht aufweist, mehr als ungefähr 30 nm und weniger als ungefähr 50 nm. In bestimmten Ausführungsformen beträgt die Gesamtdicke der Absorberschicht 25 weniger als ungefähr 45 nm.
  • 4A bis 4G und 5A bis 5G zeigen schematisch einen sequenziellen Vorgang zum Herstellen einer reflektierenden EUV-Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung. Es versteht sich, dass für zusätzliche Ausführungsformen des Verfahrens zusätzliche Vorgänge vor, während und nach den in 2A bis 2G dargestellten Prozessen vorgesehen werden können, und einige der nachstehend beschriebenen Vorgänge ersetzt oder eliminiert werden können. Die Reihenfolge der Vorgänge /Prozesse kann austauschbar sein. Materialien, Ausgestaltungen, Prozesse und/oder Abmessungen, wie in Bezug auf die vorstehenden Ausführungsformen erläutert, können in den nachstehenden Ausführungsformen verwendet werden, und eine ausführliche Beschreibung davon kann weggelassen sein.
  • 4A bis 4G zeigen schematisch einen sequenziellen Vorgang zum Herstellen einer Vorform einer reflektierenden EUV-Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung. Beim Herstellen einer EUV-Fotomaskenvorform wird ein mehrschichtiger Stapel 15 über dem Substrat 10 ausgebildet, wie in 4A dargestellt. In einigen Ausführungsformen weist der mehrschichtige Stapel 15 mehrere abwechselnde Schichten aus Silizium und Molybdän auf. In anderen Ausführungsformen beträgt die Dicke jeder Siliziumschicht ungefähr 4 nm und die Dicke jeder Molybdänschicht beträgt ungefähr 3 nm. In einigen Ausführungsformen werden die Silizium- und Molybdän-Schichten durch eine CVD, eine Plasma-unterstützte CVD, eine ALD, eine Ionenstrahlabscheidung, ein Sputtern oder ein beliebiges anderes geeignetes Filmausbildungsverfahren ausgebildet.
  • Dann werden, wie in 4B dargestellt, eine Abdeckschicht 20 und eine untere Absorberschicht 110 über dem mehrschichtigen Stapel 15 ausgebildet. In einigen Ausführungsformen weist die Abdeckschicht Ru oder eine Ru-Legierung auf und wird durch eine CVD, eine Plasma-unterstützte CVD, eine ALD, eine Ionenstrahlabscheidung, ein Sputtern oder ein beliebiges anderes geeignetes Filmausbildungsverfahren ausgebildet.
  • Die untere Absorberschicht 110 ist eine Ta-basierte Schicht, die TaB, TaO, TaBO und/oder TaBN aufweist, das durch eine CVD, eine Plasma-unterstützte CVD, eine ALD, eine Ionenstrahlabscheidung, ein Sputtern oder ein beliebiges anderes geeignetes Filmausbildungsverfahren ausgebildet wird. In bestimmten Ausführungsformen ist die untere Absorberschicht 110 TaBO, das eine Dicke von 2 nm ± 10 % aufweist. In einigen Ausführungsformen wirkt die untere Absorberschicht 110 als eine Ätzstoppschicht.
  • Außerdem werden, wie in 4C bis 4E dargestellt, erste Schichten 120 und zweite Schichten 125 abwechselnd auf der unteren Absorberschicht 110 ausgebildet. In einigen Ausführungsformen wird die erste Schicht 120 auf der unteren Absorberschicht 110 ausgebildet, und dann wird die zweite Schicht 125 auf der ersten Schicht ausgebildet. In anderen Ausführungsformen wird die zweite Schicht 125 auf der unteren Absorberschicht 110 ausgebildet, und dann wird die erste Schicht 120 auf der zweiten Schicht ausgebildet. Wie in 4.E dargestellt, wird das Ausbilden der ersten Schicht 120 und der zweiten Schicht 125 wiederholt, um die Absorberschicht 25 zu erlangen.
  • Wenn die erste Schicht 120 aus CrN hergestellt wird und die zweite Schicht 125 aus CrON hergestellt wird, wird die CrN-Schicht durch Sputtern unter Verwendung eines Cr-Targets und eines stickstoffhaltigen Gases, wie z.B. N2, ausgebildet, und die CrON-Schicht wird durch Sputtern unter Verwendung eines Cr-Targets und eines Gases, das Stickstoff und Sauerstoff enthält, wie z.B. einer Mischung aus O2 und N2, ausgebildet. Durch Ändern eines Verhältnisses von Durchflussraten von O2 und N2 ist es möglich, ein Verhältnis von O und N in der CrON-Schicht zu kontrollieren. Das Sputtergas kann ferner Ar, He und/oder Ne enthalten. In einigen Ausführungsformen wird durch Steuern des Flusses von O2 (ein/aus) die Mehrfachschicht aus CrN und CrON ausgebildet.
  • Dann wird, wie in 4F dargestellt, eine Hartmaskenschicht 30 auf der Absorberschicht 25 ausgebildet. Die Hartmaskenschicht 30 ist eine Ta-basierte Schicht, die TaB, TaO, TaBO und/oder TaBN aufweist, das durch eine CVD, eine Plasma-unterstützte CVD, eine ALD, eine Ionenstrahlabscheidung, ein Sputtern oder ein beliebiges anderes geeignetes Filmausbildungsverfahren ausgebildet wird. In einigen Ausführungsformen ist das Material der Hartmaskenschicht 30 gleich oder ähnlich der unteren Absorberschicht. In einigen Ausführungsformen liegt die Dicke der Hartmaskenschicht 30 in einem Bereich von ungefähr 2 nm bis ungefähr 10 nm. In bestimmten Ausführungsformen ist die Hartmaskenschicht 30 TaBO, das eine Dicke von 6 nm ± 10 % aufweist.
  • Außerdem wird, wie in 4G dargestellt, eine leitfähige Rückseitenschicht 45 auf der Rückseite des Substrats 10 durch eine CVD, eine Plasma-unterstützte CVD, eine ALD, eine Ionenstrahlabscheidung, ein Sputtern oder ein beliebiges anderes geeignetes Filmausbildungsverfahren ausgebildet, wodurch eine Maskenvorform erlangt wird.
  • 5A bis 5G zeigen schematisch einen sequenziellen Vorgang einer reflektierenden EUV-Fotomaske mit Schaltungsstrukturen gemäß Ausführungsformen der vorliegenden Offenbarung.
  • Wie in 5A dargestellt, wird eine Fotolackschicht 35 über der Hartmaskenschicht 30 der EUV-Fotomaskenvorform ausgebildet. Dann wird, wie in 5B dargestellt, die Fotolackschicht 35 selektiv einer aktinischen Strahlung 100, wie z.B. einem Elektronenstrahl oder einer UV-Strahlung, ausgesetzt. Die selektiv belichtete Fotolackschicht 35 wird entwickelt, um eine Schaltungsstruktur 40 in der Fotolackschicht 35 auszubilden, wie in 5C dargestellt.
  • Als Nächstes wird die Struktur 40 in der Fotolackschicht 35 in die Hartmaskenschicht 30 erweitert, wodurch eine Struktur 41 in der Hartmaskenschicht 30 ausgebildet wird, die Abschnitte der oberen Schicht (z.B. der zweiten Schicht 125 oder der ersten Schicht 120) der Absorberschicht 25 freilegt, wie in 5D dargestellt. Die in die Hartmaskenschicht 30 erweiterte Struktur 41 wird in einigen Ausführungsformen durch Ätzen unter Verwendung eines geeigneten Nass- oder Trockenätzmittels, das gegenüber der Hartmaskenschicht 30 selektiv ist, ausgebildet. Nachdem die Struktur 41 durch die Hartmaskenschicht 30 ausgebildet wurde, wird die Fotolackschicht 35 durch einen Fotolack-Stripper entfernt, um die obere Fläche der Hartmaskenschicht 30 freizulegen, wie in 5E dargestellt.
  • Dann wird die Struktur 41 in der Hartmaskenschicht 30 in die Absorberschicht 25 erweitert, wodurch eine Struktur 42 in der Absorberschicht 25 ausgebildet wird, wie in 5F dargestellt. Da die untere Absorberschicht 110 aus dem gleichen Material oder einem ähnlichen Material hergestellt wird wie die Hartmaskenschicht 30, hält das Ätzen im Wesentlichen an der Fläche der unteren Absorberschicht 110 an, wie in 5F dargestellt.
  • Wenn die ersten und die zweiten Schichten 120, 125 der Absorberschicht 25 aus einem Cr-basierten Material (CrN, CrON) hergestellt werden, wird in einigen Ausführungsformen ein Plasmatrockenätzen unter Verwendung eines Cl-haltigen Gases verwendet. In einigen Ausführungsformen ist das Cl-haltige Gas eine Mischung aus Cl2 und/oder CC14 und O2. In bestimmten Ausführungsformen wird eine Mischung aus C12, und O2 verwendet.
  • Wenn die erste Schicht 120 CrN ist und die zweite Schicht 125 CrON ist, verwendet das Plasma eine Mischung aus C12, und O2, eine Ätzrate des CrON durch das Plasma unter Verwendung der Mischung aus C12 und O2 ist höher als eine Ätzrate der CrN-Schicht. Dementsprechend ist es einfacher, das Ätzen zu steuern, als im Fall, in dem die Absorberschicht 25 eine CrN-Bulk-Schicht aufweist. Zum Beispiel ist ein Profil der vertikalen Seiten der Struktur 42 im Wesentlichen vertikal zum Substrat 10. In anderen Ausführungsformen kann ein Fluorhaltiges Gas, wie z.B. CF3H und/oder CF4, zusammen mit einem 02-Gas verwendet werden.
  • Dann werden, wie in 5G dargestellt, die Hartmaskenschicht 30 und der freigelegte Abschnitt der unteren Absorberschicht 110 durch Verwenden eines geeigneten Ätzvorgangs entfernt. Die „ähnlichen“ Materialien für die Hartmaskenschicht 30 und die untere Absorberschicht 110 bedeuten, dass die Hartmaskenschicht 30 und der freigelegte Abschnitt der unteren Absorberschicht 110 zusammen entfernt werden und die untere Absorberschicht 110 als eine Ätzstoppschicht wirkt.
  • Nachdem die Schaltungsstruktur 43 ausgebildet wurde, wie in 5G dargestellt, wird eine schwarze Umrandung durch Vorgänge ausgebildet, wie mit 2E bis 2G erläutert, und ein Reinigungsvorgang und ein Überprüfungsvorgang werden durchgeführt, um eine fertige Fotomaske bereitzustellen.
  • 6A, 6B und 6C zeigen Querschnittsansichten einer mehrschichtigen Struktur einer Absorberschicht gemäß einer anderen Ausführungsform der vorliegenden Offenbarung.
  • Wie vorstehend dargelegt, ist, wenn die erste Schicht 120 und die zweite Schicht 125 aus verschiedenen Materialien hergestellt werden, eine Ätzrate der ersten Schicht von einer Ätzrate der zweiten Schicht verschieden. Wenn die Ätzrate der ersten Schicht kleiner ist als die Ätzrate der zweiten Schicht, weist in einigen Ausführungsformen das Seitenprofil der geätzten Absorberschicht 25 eine unebene Fläche auf, wie in 6A dargestellt. In einigen Ausführungsformen liegt ein Abstand D1 zwischen einem seitlichen Ende der ersten Schicht 120 und einem seitlichen Ende der zweiten Schicht 125 in einem Bereich von ungefähr 0,5 nm bis ungefähr 2 nm. Da die Dicke jeder von der ersten Schicht 120 und der zweiten Schicht 125 hinreichend kleiner ist als die Wellenlänge des EUV-Lichts, kann eine solche Unebenheit ein Strukturieren bei einer EUV-Lithografie nicht beeinflussen.
  • In einigen Ausführungsformen weist, wenn die Ätzrate der ersten Schicht 120 klein ist, das Seitenprofil der ersten Schichten 120 eine verjüngte Form auf, wie in 6B dargestellt. Der Verjüngungswinkel TH liegt in einigen Ausführungsformen in einem Bereich von ungefähr 5 Grad bis ungefähr 15 Grad. In anderen Ausführungsformen weist das Seitenprofil der zweiten Schichten 125 auch eine verjüngte Form auf, die den Verjüngungswinkel in einem Bereich von ungefähr 1 Grad bis ungefähr 10 Grad aufweist, der in einigen Ausführungsformen kleiner ist als der Verjüngungswinkel TH der ersten Schichten 120.
  • Außerdem wird in einigen Ausführungsformen, wenn die erste Schicht 120 CrN ist, die geätzte Seitenfläche der ersten Schicht 120 leicht oxidiert, um einen oxidierten Abschnitt 122 (CrON-Abschnitt) auszubilden, wie in 6C dargestellt. In einigen Ausführungsformen liegt die Dicke D2 des oxidierten Abschnitts 122 in einem Bereich von ungefähr 0,1 nm bis ungefähr 2 nm.
  • 7 zeigt Simulationsergebnisse des Reflexionsvermögens verschiedener Absorberstrukturen.
  • Linie 1 zeigt ein Reflexionsvermögen einer Absorberschicht, die eine TaBN-Schicht aufweist, welche auf einer 2-nm-TaBO-Schicht ausgebildet ist, Linie 2 zeigt ein Reflexionsvermögen einer Absorberschicht, die eine CrN-Schicht aufweist, welche auf einer 2-nm-TaBO-Schicht ausgebildet ist, und Linie 3 zeigt ein Reflexionsvermögen einer Absorberschicht, die eine CrON-Schicht aufweist, welche auf einer 2-nm-TaBO-Schicht ausgebildet ist. Die Dreiecksformen zeigen ein Reflexionsvermögen einer Absorberschicht, die abwechselnde CrN- und CrON-Schichten aufweist, die auf einer 2-nm-TaBO-Schicht ausgebildet sind, wobei eine CrN-Schicht in Kontakt mit der TaBO-Schicht steht. Die Quadratformen zeigen ein Reflexionsvermögen einer Absorberschicht, die abwechselnde CrN-und CrON-Schichten aufweist, die auf einer 2-nm-TaBO-Schicht ausgebildet sind, wobei eine CrON-Schicht in Kontakt mit der TaBO-Schicht steht. Die Dicke jeder der CrN-Schichten wird auf 6 nm eingestellt und die Dicke jeder der CrON-Schichten wird auf 5 nm eingestellt.
  • Wie in 7 dargestellt, beträgt, wenn die Absorberschicht vier CrN-Schichten und drei CrON-Schichten auf der TaBO-Schicht aufweist (3,5 Paare, die Gesamtdicke beträgt 41 nm), das Reflexionsvermögen ungefähr 1,7 %. Wenn die Absorberschicht drei CrN-Schichten und vier CrON-Schichten auf der TaBO-Schicht aufweist (3,5 Paare, die Gesamtdicke beträgt 40 nm), beträgt das Reflexionsvermögen ungefähr 2,0 %. Um ein Reflexionsvermögen zu erlangen, das kleiner als 2 % ist, ist im Fall der Linie 1 eine Dicke von ungefähr 70 nm notwendig. Die mehrschichtige Struktur aus CrN und CrON kann ein niedriges Reflexionsvermögen erzielen, das kleiner ist als ungefähr 2,0 % bei einer Absorbergesamtdicke von weniger als ungefähr 45 nm.
  • 8A zeigt ein Ablaufdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung, und 8B, 8C, 8D und 8E zeigen einen sequentiellen Herstellungsvorgang des Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß Ausführungsformen der vorliegenden Offenbarung. Es wird ein Halbleitersubstrat oder ein anderes geeignetes Substrat bereitgestellt, das zum Ausbilden einer integrierten Schaltung darauf strukturiert wird. In einigen Ausführungsformen weist das Halbleitersubstrat Silizium auf. Alternativ oder zusätzlich weist das Halbleitersubstrat Germanium, Silizium, Germanium oder ein anderes geeignetes Halbleitermaterial, wie z.B. ein Gruppe-III-V-Halbleitermaterial, auf. Bei S101 von 8A wird eine zu strukturierende Zielschicht über dem Halbelitersubstrat ausgebildet. In bestimmten Ausführungsformen ist die Zielschicht das Halbleitersubstrat. In einigen Ausführungsformen weist die Zielschicht eine leitfähige Schicht, wie z.B. eine Metallschicht oder eine Polysiliziumschicht, eine dielektrische Schicht, wie z.B. Siliziumoxid, Siliziumnitrid, SiON, SiOC, SiOCN, SiCN, Hafniumoxid oder Aluminiumoxid, oder eine Halbleiterschicht, wie z.B. eine epitaktisch ausgebildete Halbleiterschicht, auf. In einigen Ausführungsformen wird die Zielschicht über einer darunterliegenden Struktur, wie z.B. Isolationsstrukturen, Transistoren oder Verdrahtungen, ausgebildet. Bei S102 von 8A wird eine Fotolackschicht über der Zielschicht ausgebildet, wie in 8B dargestellt. Die Fotolackschicht ist gegenüber Strahlung von der Belichtungsquelle während eines anschließenden fotolithografischen Belichtungsprozesses empfindlich. In der vorliegenden Ausführungsform ist die Fotolackschicht gegenüber EUV-Licht empfindlich, das im fotolithografischen Belichtungsprozess verwendet wird. Die Fotolackschicht kann über der Zielschicht durch eine Rotationsbeschichtung oder eine andere geeignete Technik ausgebildet werden. Die beschichtete Fotolackschicht kann ferner gebacken werden, um ein Lösungsmittel in der Fotolackschicht hinauszutreiben. Bei S103 von 8A wird die Fotolackschicht unter Verwendung einer reflektierenden EUV-Maske, wie vorstehend dargelegt, strukturiert, wie in 8B dargestellt. Das Strukturieren der Fotolackschicht umfasst ein Durchführen eines fotolithografischen Belichtungsprozesses durch ein EUV-Belichtungssystem unter Verwendung der EUV-Maske. Während des Belichtungsprozesses wird der auf der EUV-Maske definierte Designentwurf der integrierten Schaltung (IC) auf die Fotolackschicht abgebildet, um eine latente Struktur darauf auszubilden. Das Strukturieren der Fotolackschicht umfasst ferner ein Entwickeln der belichteten Fotolackschicht, um eine strukturierte Fotolackschicht auszubilden, die eine oder mehrere Öffnungen aufweist. In einer Ausführungsform, in der die Fotolackschicht eine Fotolackschicht mit positivem Ton ist, werden die belichteten Abschnitte der Fotolackschicht während des Entwicklungsprozesses entfernt. Das Strukturieren der Fotolackschicht kann ferner andere Prozessschritte umfassen, wie z.B. verschiedene Backschritte bei verschiedenen Phasen. Zum Beispiel kann ein Backprozess nach dem Belichten (Post-Exposure-Baking, PEB) nach dem fotolithografischen Belichtungsprozess und vor dem Entwicklungsprozess implementiert werden.
  • Bei S104 von 8A wird die Zielschicht unter Verwendung der strukturierten Fotolackschicht als einer Ätzmaske strukturiert, wie in 8D dargestellt. In einigen Ausführungsformen umfasst das Strukturieren der Zielschicht ein Anwenden eines Ätzprozesses auf die Zielschicht unter Verwendung der strukturierten Fotolackschicht als einer Ätzmaske. Die Abschnitte der Zielschicht, die innerhalb der Öffnungen der strukturierten Fotolackschicht freigelegt sind, werden geätzt, während die verbleibenden Abschnitte vor dem Ätzen geschützt werden. Außerdem kann die strukturierte Fotolackschicht durch Nassstrippen oder Plasmaveraschen entfernt werden, wie in 8E dargestellt.
  • In der vorliegenden Offenbarung ist es durch Verwenden einer mehrschichtigen Struktur für eine Absorberschicht, auch wenn die Absorberschicht ein Material mit niedriger Ätzrate (z.B. CrN) aufweist, möglich, eine Ätzrate zu verbessern und ein im Wesentlichen vertikales Seitenprofil an der strukturierten Absorberschicht zu erlangen. Außerdem ist es möglich, eine dünnere Absorberschicht mit einem hinreichend niedrigen Reflexionsvermögen (einer hohen Absorption) zu erlangen.
  • Es versteht sich, dass nicht alle Vorteile hier notwendigerweise besprochen wurden, kein besonderer Vorteil für alle Ausführungsformen oder Beispiele erforderlich ist, und andere Ausführungsformen oder Beispiele andere Vorteile bieten können.
  • Gemäß einem Aspekt der vorliegenden Anmeldung weist eine reflektierende Maske ein Substrat, eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist, eine Abdeckschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist, und eine Absorberschicht, die auf der Abdeckschicht angeordnet ist, auf. Die Absorberschicht weist ein oder mehrere abwechselnde Paare einer ersten Cr-basierten Schicht und einer zweiten Cr-basierten Schicht, die von der ersten Cr-basierten Schicht verschieden ist, auf. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist die erste Cr-basierte Schicht CrN oder CrNiN, und die zweite Cr-basierte Schicht ist CrON. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen beträgt eine Anzahl der Paare der ersten Cr-basierten Schicht und der zweiten Cr-basierten Schicht drei bis sechs. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen weist die Absorberschicht drei Paare der ersten Cr-basierten Schicht und der zweiten Cr-basierten Schicht auf. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen wird in jedem Paar der drei Paare die zweite Cr-basierte Schicht auf der ersten Cr-basierten Schicht angeordnet. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen weist die Absorberschicht ferner eine obere Cr-basierte Schicht auf, die auf den drei Paaren angeordnet ist, und die obere Cr-basierte Schicht wird aus einem gleichen Material hergestellt wie die erste Cr-basierte Schicht. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen wird in jedem Paar der drei Paare die erste Cr-basierte Schicht auf der zweiten Cr-basierten Schicht angeordnet. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen weist die Absorberschicht ferner eine obere Cr-basierte Schicht auf, die auf den drei Paaren angeordnet ist, und die obere Cr-basierte Schicht wird aus einem gleichen Material hergestellt wie die zweite Cr-basierte Schicht. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen weist die Absorberschicht ferner eine Ta-basierte Schicht zwischen der Abdeckschicht und dem einen oder den mehreren abwechselnden Paaren der ersten Cr-basierten Schicht und der zweitem Cr-basierten Schicht beträgt drei bis sechs Paare.
  • Gemäß einem anderen Aspekt der vorliegenden Offenbarung weist eine reflektierende Maske ein Substrat, eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist, eine Abdeckschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist, und eine Absorberschicht, die auf der Abdeckschicht angeordnet ist, auf. Die Absorberschicht weist CrN-Schichten und CrON-Schichten, die abwechselnd gestapelt sind, auf, und eine Dicke jeder der CrN-Schichten liegt in einem Bereich von 1 nm bis 8 nm und eine Dicke jeder der CrON-Schichten liegt in einem Bereich von 1 nm bis 8 nm. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen beträgt eine Gesamtdicke der Absorberschicht weniger als 45 nm. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist die Dicke jeder der CrN-Schichten größer als die Dicke jeder der CrON-Schichten. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen beträgt die Dicke jeder der CrN-Schichten 6 nm ±10 % und die Dicke jeder der CrON-Schichten beträgt 5 nm ±10 %. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen beträgt eine Anzahl der CrN-Schichten vier und eine Anzahl der CrON-Schicht beträgt drei, und eine der CrN-Schichten steht mit der Abdeckschicht in Kontakt. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist eine Anzahl der CrN-Schichten gleich einer Anzahl der CrON-Schichten. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen beträgt ein Unterschied zwischen einer Anzahl der CrN-Schichten und einer Anzahl der CrON-Schichten eins.
  • Gemäß einem anderen Aspekt der vorliegenden Offenbarung weist eine reflektierende Maske ein Substrat, eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist, eine Abdeckschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist, und eine Absorberschicht, die auf der Abdeckschicht angeordnet ist, auf. Die Absorberschicht weist zwei oder mehrere Paare einer ersten Schicht und einer zweiten Schicht, die von der ersten Schicht verschieden ist, auf. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist die erste Schicht CrN oder CrNiN, und die zweite Schicht ist CrON. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist die erste Schicht TaCo, und die zweite Schicht ist TaCoO. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist die erste Schicht Hf, und die zweite Schicht ist Hafniumoxid.
  • Gemäß einem Aspekt der vorliegenden Offenbarung wird in einem Verfahren zum Herstellen einer Halbleitervorrichtung eine Zielschicht über einem Substrat ausgebildet, eine Fotolackschicht wird über der Zielschicht ausgebildet, die Fotolackschicht wird durch einen EUV-Lithografievorgang unter Verwendung einer reflektierenden Fotomaske strukturiert, und die Zielschicht wird durch Verwenden der strukturierten Fotolackschicht als einer Ätzmaske strukturiert. Die reflektierende Fotomaske ist die reflektierende Maske einer der reflektierenden Masken, wie vorstehend dargelegt.
  • Gemäß einem Aspekt der vorliegenden Offenbarung wird in einem Verfahren zum Herstellen einer reflektierenden Maske eine Fotolackschicht über einer Maskenvorform ausgebildet. Die Maskenvorform weist ein Substrat, eine reflektierende Mehrfachschicht auf dem Substrat, eine Abdeckschicht auf der reflektierenden Mehrfachschicht, eine Absorberschicht auf der Abdeckschicht und eine Hartmaskenschicht auf. Die Fotolackschicht wird strukturiert. Die Hartmaskenschicht wird durch Verwenden der strukturierten Fotolackschicht strukturiert. Die Absorberschicht wird durch Verwenden der strukturierten Hartmaskenschicht strukturiert. Die Absorberschicht weist zwei oder mehrere Paare einer ersten Cr-basierten Schicht und einer zweiten Cr-basierten Schicht, die von der ersten Cr-basierten Schicht verschieden ist, auf. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen weist die Absorberschicht ferner eine Ta-basierte Schicht zwischen der Abdeckschicht und den zwei oder den mehreren Paaren der ersten Cr-basierten Schicht und der zweiten Cr-basierten Schicht auf, und die die Hartmaskenschicht wird aus einem Ta-basierten Material hergestellt. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen wird, nachdem die Hartmaskenschicht strukturiert wurde, die strukturierte Fotolackschicht entfernt. Beim Strukturieren der Absorberschicht werden die zwei oder die mehreren Paare der ersten Cr-basierten Schicht und der zweiten Cr-basierten Schicht strukturiert, um einen Raum auszubilden, und die Hartmaskenschicht und ein Teil der Ta-basierten Schicht werden in dem Raum entfernt. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist die erste Cr-basierte Schicht CrN, und die zweite Cr-basierte Schicht ist CrON. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen beträgt eine Anzahl der Paare der ersten Cr-basierten Schicht und der zweiten Cr-basierten Schicht drei bis sechs. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen weist die Absorberschicht drei Paare der ersten Cr-basierten Schicht und der zweiten Cr-basierten Schicht auf. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen wird die Absorberschicht durch ein Plasmaätzen unter Verwendung eines chlorhaltigen Gases strukturiert. In einer oder mehreren vorstehenden oder nachstehenden Ausführungsformen ist das chlorhaltige Gas eine Mischung aus C12, und O2. In einer oder mehreren vorstehenden oder nachstehenden Ausführungsformen ist das chlorhaltige Gas eine Mischung aus CC14 und O2.
  • Gemäß einem anderen Aspekt der vorliegenden Offenbarung wird in einem Verfahren zum Herstellen einer reflektierenden Maske eine Fotolackschicht über einer Maskenvorform ausgebildet. Die Maskenvorform weist ein Substrat, eine reflektierende Mehrfachschicht auf dem Substrat, eine Abdeckschicht auf der reflektierenden Mehrfachschicht, eine Absorberschicht auf der Abdeckschicht und eine Hartmaskenschicht auf. Die Fotolackschicht wird strukturiert. Die Hartmaskenschicht wird durch Verwenden der strukturierten Fotolackschicht strukturiert. Die Absorberschicht wird durch Verwenden der strukturierten Hartmaskenschicht strukturiert. Die Absorberschicht weist CrN-Schichten und CrON-Schichten auf, die abwechselnd gestapelt sind. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen liegt eine Dicke jeder der CrN-Schichten in einem Bereich von 1 nm bis 8 nm und eine Dicke jeder der CrON-Schichten liegt in einem Bereich von 1 nm bis 8 nm. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen beträgt eine Gesamtdicke der Absorberschicht weniger als 45 nm. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist die Dicke jeder der CrN-Schichten größer als die Dicke jeder der CrON-Schichten. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen beträgt die Dicke jeder der CrN-Schichten 6 nm ±5 % und die Dicke jeder der CrON-Schichten beträgt 5 nm ±5 %. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen beträgt eine Anzahl der CrN-Schichten vier und eine Anzahl der CrON-Schichten beträgt drei, und eine der CrN-Schichten steht mit der Abdeckschicht in Kontakt. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist eine Anzahl der CrN-Schichten gleich einer Anzahl der CrON-Schichten. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen beträgt ein Unterschied zwischen einer Anzahl der CrN-Schichten und einer Anzahl der CrON-Schichten eins.
  • Gemäß einem anderen Aspekt der vorliegenden Offenbarung wird in einem Verfahren zum Herstellen einer reflektierenden Maske eine Fotolackschicht über einer Maskenvorform ausgebildet. Die Maskenvorform weist ein Substrat, eine reflektierende Mehrfachschicht auf dem Substrat, eine Abdeckschicht auf der reflektierenden Mehrfachschicht, eine Absorberschicht auf der Abdeckschicht und eine Hartmaskenschicht auf. Die Fotolackschicht wird strukturiert. Die Hartmaskenschicht wird durch Verwenden der strukturierten Fotolackschicht strukturiert. Die Absorberschicht wird durch Verwenden der strukturierten Hartmaskenschicht strukturiert. Die Absorberschicht weist zwei oder mehrere Paare einer ersten Schicht und einer zweiten Schicht, die von der ersten Schicht verschieden ist, auf. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist die erste Schicht CrN oder CrNiN, und die zweite Schicht ist CrON. In einer oder mehreren der vorstehenden und nachstehenden Ausführungsformen ist die erste Schicht TaCo, und die zweite Schicht ist TaCoO.
  • Das Vorstehende skizziert Merkmale von mehreren Ausführungsformen oder Beispielen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage zum Entwerfen und Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen oder Beispiele zu erzielen. Ein Fachmann sollte ebenfalls verstehen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifizierungen hier vornehmen kann, ohne vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Reflektierende Maske, aufweisend: ein Substrat, eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist, eine Abdeckschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist, und eine Absorberschicht, die auf der Abdeckschicht angeordnet ist, wobei die Absorberschicht ein oder mehrere abwechselnde Paare einer ersten Cr-basierten Schicht und einer zweiten Cr-basierten Schicht, die von der ersten Cr-basierten Schicht verschieden ist, aufweist.
  2. Reflektierende Maske nach Anspruch 1, wobei die erste Cr-basierte Schicht CrN oder CrNiN ist, und die zweite Cr-basierte Schicht CrON ist.
  3. Reflektierende Maske nach Anspruch 1 oder 2, wobei eine Anzahl der Paare der ersten Cr-basierten Schicht und der zweiten Cr-basierten Schicht drei bis sechs beträgt.
  4. Reflektierende Maske nach einem der vorhergehenden Ansprüche, wobei die Absorberschicht drei Paare der ersten Cr-basierten Schicht und der zweiten Cr-basierten Schicht aufweist.
  5. Reflektierende Maske nach Anspruch 4, wobei in jedem Paar der drei Paare die zweite Cr-basierte Schicht auf der ersten Cr-basierten Schicht angeordnet ist.
  6. Reflektierende Maske nach Anspruch 4 oder 5, wobei die Absorberschicht ferner eine obere Cr-basierte Schicht aufweist, die auf den drei Paaren angeordnet ist, und die obere Cr-basierte Schicht aus einem gleichen Material hergestellt ist wie die erste Cr-basierte Schicht.
  7. Reflektierende Maske nach einem der Ansprüche 4 bis 6, wobei in jedem Paar der drei Paare die erste Cr-basierte Schicht auf der zweiten Cr-basierten Schicht angeordnet ist.
  8. Reflektierende Maske nach einem der Ansprüche 4 bis 7, wobei die Absorberschicht ferner eine obere Cr-basierte Schicht aufweist, die auf den drei Paaren angeordnet ist, und die obere Cr-basierte Schicht aus einem gleichen Material hergestellt ist wie die zweite Cr-basierte Schicht.
  9. Reflektierende Maske nach einem der vorhergehenden Ansprüche, wobei die Absorberschicht ferner eine Ta-basierte Schicht zwischen der Abdeckschicht und dem einen oder den mehreren abwechselnden Paaren der ersten Cr-basierten Schicht, die drei bis sechs Paare umfassen, aufweist.
  10. Reflektierende Maske, aufweisend: ein Substrat, eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist, eine Abdeckschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist, und eine Absorberschicht, die auf der Abdeckschicht angeordnet ist, wobei: die Absorberschicht CrN-Schichten und CrON-Schichten aufweist, die abwechselnd gestapelt sind, und eine Dicke jeder der CrN-Schichten in einem Bereich von 1 nm bis 8 nm liegt und eine Dicke jeder der CrON-Schichten in einem Bereich von 1 nm bis 8 nm liegt.
  11. Reflektierende Maske nach Anspruch 10, wobei eine Gesamtdicke der Absorberschicht kleiner als 45 nm ist.
  12. Reflektierende Maske nach Anspruch 10 oder 11, wobei die Dicke jeder der CrN-Schichten größer ist als die Dicke jeder der CrON-Schichten.
  13. Reflektierende Maske nach einem der Ansprüche 10 bis 12, wobei die Dicke jeder der CrN-Schichten 6 nm ±10 % beträgt und die Dicke jeder der CrON-Schichten 5 nm ±10 % beträgt.
  14. Reflektierende Maske nach einem der Ansprüche 10 bis 13, wobei eine Anzahl der CrN-Schichten vier beträgt und eine Anzahl der CrON-Schichten drei beträgt, und eine der CrN-Schichten mit der Abdeckschicht in Kontakt steht.
  15. Reflektierende Maske nach einem der Ansprüche 10 bis 14, wobei eine Anzahl der CrN-Schichten gleich einer Anzahl der CrON-Schichten ist.
  16. Reflektierende Maske nach einem der Ansprüche 10 bis 14, wobei ein Unterschied zwischen einer Anzahl der CrN-Schichten und einer Anzahl der CrON-Schichten eins beträgt.
  17. Verfahren zum Herstellen einer reflektierenden Maske, wobei das Verfahren umfasst: Ausbilden einer Fotolackschicht über einer Maskenvorform, wobei die Maskenvorform ein Substrat, eine reflektierende Mehrfachschicht auf dem Substrat, eine Abdeckschicht auf der reflektierenden Mehrfachschicht, eine Absorberschicht auf der Abdeckschicht und eine Hartmaskenschicht aufweist, Strukturieren der Fotolackschicht, Strukturieren der Hartmaskenschicht durch Verwenden der strukturierten Fotolackschicht, und Strukturieren der Absorberschicht durch Verwenden der strukturierten Hartmaskenschicht, wobei die Absorberschicht zwei oder mehrere Paare einer ersten Cr-basierten Schicht und einer zweiten Cr-basierten Schicht, die von der ersten Cr-basierten Schicht verschieden ist, aufweist.
  18. Verfahren nach Anspruch 17, wobei: die Absorberschicht ferner eine Ta-basierte Schicht zwischen der Abdeckschicht und den zwei oder den mehreren Paaren der ersten Cr-basierten Schicht und der zweiten Cr-basierten Schicht aufweist, und die Hartmaskenschicht aus einem Ta-basierten Material hergestellt wird.
  19. Verfahren nach Anspruch 18, wobei: nachdem die Hartmaskenschicht strukturiert wurde, die strukturierte Fotolackschicht entfernt wird, und das Strukturieren der Absorberschicht umfasst: Strukturieren der zwei oder der mehreren Paare der ersten Cr-basierten Schicht und der zweiten Cr-basierten Schicht, um einen Raum auszubilden, Entfernen der Hartmaskenschicht und eines Teils der Ta-basierten Schicht in dem Raum.
  20. Verfahren nach einem der Ansprüche 17 bis 19, wobei die erste Cr-basierte Schicht CrN ist, und die zweite Cr-basierte Schicht CrON ist.
DE102020102450.9A 2020-01-16 2020-01-31 EUV-Fotomasken und Herstellungsverfahren von diesen Active DE102020102450B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/744,732 2020-01-16
US16/744,732 US11204545B2 (en) 2020-01-16 2020-01-16 EUV photo masks and manufacturing method thereof

Publications (2)

Publication Number Publication Date
DE102020102450A1 true DE102020102450A1 (de) 2021-07-22
DE102020102450B4 DE102020102450B4 (de) 2022-02-10

Family

ID=76650469

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020102450.9A Active DE102020102450B4 (de) 2020-01-16 2020-01-31 EUV-Fotomasken und Herstellungsverfahren von diesen

Country Status (5)

Country Link
US (3) US11204545B2 (de)
KR (1) KR102359753B1 (de)
CN (1) CN113126420A (de)
DE (1) DE102020102450B4 (de)
TW (1) TWI742950B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11619875B2 (en) 2020-06-29 2023-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023076222A1 (en) * 2021-10-26 2023-05-04 Geminatio Inc. Local shadow masking for multi-color exposures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046000A1 (de) 2006-09-27 2007-08-30 Schott Ag EUV Maskenblank und Verfahren zu dessen Herstellung
US20130260292A1 (en) 2012-03-28 2013-10-03 Shibaura Mechatronics Corporation Method for manufacturing reflective mask and apparatus for manufacturing reflective mask
DE102014222028A1 (de) 2014-01-30 2015-07-30 Globalfoundries Inc. Maskenstrukturen und Herstellungsverfahren
US20190146331A1 (en) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010074125A1 (ja) * 2008-12-26 2010-07-01 Hoya株式会社 反射型マスクブランク及び反射型マスクの製造方法
JP6125772B2 (ja) 2011-09-28 2017-05-10 Hoya株式会社 反射型マスクブランク、反射型マスクおよび反射型マスクの製造方法
JP6287099B2 (ja) * 2013-05-31 2018-03-07 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
KR102101837B1 (ko) 2013-06-11 2020-04-17 삼성전자 주식회사 포토마스크, 포토마스크의 레지스트레이션 에러 보정 방법, 포토마스크를 이용하여 제조된 집적 회로 및 그 제조 방법
KR101713382B1 (ko) 2013-11-22 2017-03-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 극자외선 리소그래피 공정 및 마스크
DE102014222026B3 (de) 2014-10-29 2016-02-18 Physik Instrumente (Pi) Gmbh & Co. Kg Ultraschallmotor
TWI730139B (zh) * 2016-07-27 2021-06-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
TWI821984B (zh) 2016-07-27 2023-11-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造極紫外線遮罩坯料的方法
SG11201907622YA (en) * 2017-03-02 2019-09-27 Hoya Corp Reflective mask blank, reflective mask and manufacturing method thereof, and semiconductor device manufacturing method
JP6678269B2 (ja) 2019-03-15 2020-04-08 Hoya株式会社 反射型マスクブランク及び反射型マスク

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046000A1 (de) 2006-09-27 2007-08-30 Schott Ag EUV Maskenblank und Verfahren zu dessen Herstellung
US20130260292A1 (en) 2012-03-28 2013-10-03 Shibaura Mechatronics Corporation Method for manufacturing reflective mask and apparatus for manufacturing reflective mask
DE102014222028A1 (de) 2014-01-30 2015-07-30 Globalfoundries Inc. Maskenstrukturen und Herstellungsverfahren
US20190146331A1 (en) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11619875B2 (en) 2020-06-29 2023-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof

Also Published As

Publication number Publication date
CN113126420A (zh) 2021-07-16
US11204545B2 (en) 2021-12-21
DE102020102450B4 (de) 2022-02-10
US11726399B2 (en) 2023-08-15
US20220113620A1 (en) 2022-04-14
KR20210093137A (ko) 2021-07-27
US20230350283A1 (en) 2023-11-02
TW202129705A (zh) 2021-08-01
TWI742950B (zh) 2021-10-11
US20210223679A1 (en) 2021-07-22
KR102359753B1 (ko) 2022-02-08

Similar Documents

Publication Publication Date Title
DE102007028800B4 (de) Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
DE10164189B4 (de) Halbton-Phasenverschiebungsmaske und -maskenrohling
DE102009010855B4 (de) Fotomaskenrohling, Fotomaske und Verfahren zum Herstellen einer Fotomaske
DE112012005734B4 (de) Lithographieprozess und Lithographische Struktur mit doppelter Hartmaske
DE60221404T2 (de) Phasenschiebermaske für die euv-lithographie mit glatter oberfläche (damascene-struktur)
DE112005001588B4 (de) Fotomaskenrohling, Fotomaskenherstellungsverfahren und Halbleiterbausteinherstellungsverfahren
DE112006003495B4 (de) Maskenrohling und Maske
US20230350283A1 (en) Euv photo masks and manufacturing method thereof
DE102013108872B4 (de) Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser
US20210373430A1 (en) Euv photo masks and manufacturing method thereof
DE10206143B4 (de) Reflektierender Maskenrohling und reflektierende Maske für EUV-Belichtung und Verfahren zum Herstellen der Maske
US20230251563A1 (en) Euv photo masks and manufacturing method thereof
DE102004031079A1 (de) Reflexionsmaske, Verwendung der Reflexionsmaske und Verfahren zur Herstellung der Reflexionsmaske
DE10228325B4 (de) Verfahren zur Herstellung einer Halbleiterspeichervorrichtung durch den Einsatz eines mit ArF-Laserstrahl belichteten Photoresist-Musters
EP1421445B1 (de) Photolithographische maske
DE102023105008A1 (de) Euv-fotomasken und herstellungsverfahren dafür
DE102020114143B4 (de) Verfahren zur defektkontrolle
DE102023102713A1 (de) Euv-fotomasken und herstellungsverfahren dafür
KR102603098B1 (ko) 포토마스크의 제조 방법
DE102020114051B4 (de) Fotomaske mit verbesserter Kontaminationskontrolle und deren Herstellungsverfahren
DE102022122378A1 (de) Euv-maske mit abdeckungsschicht
DE102004017131B4 (de) Lithographiemaske für die Herstellung von Halbleiterbauelementen
DE102022122490A1 (de) Extrem-ultraviolett-maske mit capping-schicht
DE102016114435B4 (de) Gerichteter Selbstanordnungsprozess mit größenbegrenzten Leitstrukturen
DE102023112057A1 (de) Verfahren zum herstellen von fotomasken

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final