TW202129705A - 反射遮罩及其製造方法 - Google Patents

反射遮罩及其製造方法 Download PDF

Info

Publication number
TW202129705A
TW202129705A TW109142511A TW109142511A TW202129705A TW 202129705 A TW202129705 A TW 202129705A TW 109142511 A TW109142511 A TW 109142511A TW 109142511 A TW109142511 A TW 109142511A TW 202129705 A TW202129705 A TW 202129705A
Authority
TW
Taiwan
Prior art keywords
layer
chromium
containing material
material layer
layers
Prior art date
Application number
TW109142511A
Other languages
English (en)
Other versions
TWI742950B (zh
Inventor
許倍誠
陳慶煌
蔡虹驛
陳明威
連大成
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202129705A publication Critical patent/TW202129705A/zh
Application granted granted Critical
Publication of TWI742950B publication Critical patent/TWI742950B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種反射遮罩包含一基材、設置在基材上的一反射多層、設置在反射多層上的一覆蓋層,以及設置在覆蓋層上的一吸收層。吸收層包含交替設置之一對或多對第一含鉻材料層以及第二含鉻材料層,第二含鉻材料層不同於第一含鉻材料層。

Description

極紫外線光罩及其製造方法
光微影術操作為半導體製造過程中的關鍵操作中之一者。光微影術技術包含紫外線微影術、深紫外線微影術,及極紫外線微影術(extreme ultraviolet lithography; EUVL)。光罩為照相平版印刷術操作中的重要組件。製造具有帶有高反射性部分及高吸收部分之高對比度的極紫外線(extreme ultraviolet; EUV)光罩為關鍵的。
將理解,以下揭示內容提供用於實行本發明之不同特徵的許多不同實施例,或實例。以下描述組件及佈置之特定實施例或實例,以簡化本揭示案。當然,此等僅為實例且不欲為限制。例如,元件之尺寸不限於所揭示的範圍或值,但可取決於製程條件及/或元件之所要的性質。此外,以下描述中的第二特徵上方或上之第一特徵之形成可包含其中第一特徵及第二特徵係直接接觸地形成的實施例,且可亦包含其中可形成介入第一特徵與第二特徵間的額外特徵,使得第一特徵及第二特徵可並非直接接觸的實施例。為簡單及清晰起見,可以不同刻度任意地繪製各種特徵。
此外,空間相對術語,諸如「下方」、「以下」、「下」、「上方」、「上」等等,可在本文中使用於便於描述,以描述一個元件或特徵與如圖中所例示的另一元件(多個)或特徵(多個)之關係。除圖中所描繪的定向之外,空間相對術語意欲涵蓋使用或操作中的裝置之不同定向。元件可以其他方式定向(旋轉90度或以其他定向),且同樣可據此解釋本文中所使用的空間相對描述符。另外,術語「由……製成」可意味「包含」或「由……組成」。在本揭示案中,用語「A、B及C中之一者」意味「A、B及/或C」( A、B、C、A及B、A及C、B及C,或A、B及C),且不意味來自A的一個元件、來自B的一個元件及來自C的一個元件,除非另有描述。
本揭示案之實施例提供製造極紫外線(extreme ultraviolet; EUV)光罩之方法。更具體而言,本揭示案提供用以防止或抑制對極紫外線光罩之背側導電層之損壞的技術。
極紫外線微影術(EUV lithography; EUVL)利用使用在極紫外線(extreme ultraviolet EUV)區部內之光的掃描器,前述光具有約1 nm至約100 nm,例如,13.5 nm之波長。遮罩為極紫外線微影術系統之關鍵組件。因為光學材料為對於極紫外線輻射不透明的,所以極紫外線光罩為反射遮罩。電路圖案形成於吸收層中,前述吸收層設置在反射結構上。吸收器具有低極紫外線反射性,例如,小於3%-5%。
本揭示案提供具有低反射(高吸收)吸收器結構的極紫外線反射光罩。
第1圖示出根據本公揭示案之一實施例的極紫外線反射光罩基底。在一些實施例中,具有電路圖案之極紫外線光罩係由極紫外線光罩基底5形成。極紫外線光罩基底5包含基材10、矽及鉬之多個交替層之多層Mo/Si堆疊15、覆蓋層20、吸收層25及硬遮罩層30。此外,背側導電層45形成於基材10之背側上,如第1圖中所示。
在一些實施例中,基材10係由低熱膨脹材料形成。在一些實施例中,基材為低熱膨脹玻璃或石英,諸如熔融矽石或熔融石英。在一些實施例中,低熱膨脹玻璃基材透射可見波長處的光、可見光譜附近的紅外線波長之一部分(近紅外),及紫外線波長之一部分。在一些實施例中,低熱膨脹玻璃基材吸收極紫外線波長及極紫外線附近的深紫外線波長。在一些實施例中,基材10之大小為152 mm × 152 mm,具有約20 mm之厚度。
在一些實施例中,Mo/Si多層堆疊15包含各自具有矽及鉬之約30個交替層至各自具有矽及鉬之約60個交替層。在某些實施例中,形成各自具有矽及鉬之自約40個至約50個交替層。在一些實施例中,反射性為對於感興趣的波長例如13.5 nm高於約70%。在一些實施例中,矽及鉬層係藉由化學氣相沈積(chemical vapor deposition; CVD)、電漿增強化學氣相沈積(plasma-enhanced CVD; PECVD)、原子層沈積(atomic layer deposition; ALD)、物理氣相沈積(physical vapor deposition; PVD) (濺射),或任何其他合適的膜形成方法形成。矽及鉬之每個層為約2 nm至約10 nm厚。在一些實施例中,矽及鉬之層為大約相同厚度。在其他實施例中,矽及鉬之層為不同厚度。在一些實施例中,每個矽層之厚度為約4 nm,且每個鉬層之厚度為約3 nm。
在其他實施例中,多層堆疊15包含交替的鉬層及鈹層。在一些實施例中,多層堆疊15中的層數在自約20至約100之範圍內,但允許任何數目的層,只要對於成像目標基材維持充分的反射性。在一些實施例中,反射性為對於感興趣的波長例如13.5 nm高於約70%。在一些實施例中,多層堆疊15包含Mo及Be之約30個至約60個交替層。在本揭示案之其他實施例中,多層堆疊15包含各自具有Mo及Be之約40個至約50個交替層。
在一些實施例中,覆蓋層20設置在Mo/Si多層15上以防止多層堆疊15之氧化。在一些實施例中,覆蓋層20係由釕、釕合金(例如,RuB、RuSi或RuNb)或氧化釕(例如,RuO2 或RuNbO)製成,具有自約2 nm至約10 nm之厚度。在某些實施例中,覆蓋層20之厚度為自約2 nm至約4 nm。在一些實施例中,覆蓋層20具有3.5 nm±10%之厚度。在一些實施例中,覆蓋層20係藉由化學氣相沈積、電漿增強化學氣相沈積、原子層沈積、物理氣相沈積(例如,濺射),或任何其他合適的膜形成方法形成。在其他實施例中,將Si層用作覆蓋層20。
吸收層25設置在覆蓋層20上。在本揭示案之實施例中,吸收層25具有如以下所描述的多層結構。在其他實施例中,吸收層25包含Co、Te、Hf及/或Ni或其合金層。
在一些實施例中,抗反射層(未示出)任擇地設置在吸收層25上。抗反射層在一些實施例中係由氧化矽製成,且具有自約2 nm至約10 nm之厚度。在其他實施例中,將具有在自約12 nm至約18 nm之範圍內之厚度的TaBO層用作抗反射層。在一些實施例中,抗反射層之厚度為自約3 nm至約6 nm。在一些實施例中,抗反射層係藉由化學氣相沈積、電漿增強化學氣相沈積、原子層沈積、物理氣相沈積,或任何其他合適的膜形成方法形成。
在一些實施例中,硬遮罩層30設置在吸收層25上。在一些實施例中,硬遮罩層30形成在抗反射層上。在一些實施例中,硬遮罩層30係由包含鉭(Tantalum, Ta)之材料諸如TaB、TaO、TaBO或TaBN製成。在其他實施例中,硬遮罩層30係由矽、包含矽之化合物(例如,SiN或SiON)、包含釕之化合物(Ru或RuB)製成。硬遮罩層30具有約4 nm至約20 nm之厚度。在一些實施例中,硬遮罩層30係藉由化學氣相沈積、電漿增強化學氣相沈積、原子層沈積、物理氣相沈積,或任何其他合適的膜形成方法形成。
在一些實施例中,背側導電層45設置在與基材10之第一主表面相反的基材10之第二主表面上,Mo/Si多層15形成於前述第一主表面上。在一些實施例中,背側導電層45係由TaB (硼化鉭)或其他含Ta之導電材料製成。在一些實施例中,硼化鉭為結晶的。結晶性硼化鉭包含TaB、Ta5 B6 、Ta3 B4 及TaB2 。在其他實施例中,硼化鉭為多結晶的或非晶形的。在其他實施例中,背側導電層45係由包含鉻(Chromium, Cr)之導電材料(氮化鉻(CrN)或氮氧化鉻(CrON))製成。在一些實施例中,背側導電層45之薄片電阻等於或小於20 Ω /□。在某些實施例中,背側導電層45之薄片電阻等於或大於0.1 Ω /□。在一些實施例中,背側導電層45之表面粗糙度Ra等於或小於0.25 nm。在某些實施例中,背側導電層45之表面粗糙度Ra等於或大於0.05 nm。此外,在一些實施例中,背側導電層45之平坦度等於或小於50 nm (在極紫外線光罩內)。在一些實施例中,背側導電層45之平坦度大於1 nm。在一些實施例中,背側導電層45之厚度在自約50 nm至約400 nm之範圍內。在其他實施例中,背側導電層45具有約50 nm至約100 nm之厚度。在某些實施例中,厚度在自約65 nm至約75 nm之範圍內。在一些實施例中,背側導電層45係藉由大氣化學氣相沈積(chemical vapor deposition; CVD)、低壓化學氣相沈積、電漿增強化學氣相沈積、雷射增強化學氣相沈積、原子層沈積(atomic layer deposition; ALD)、分子束磊晶(molecular beam epitaxy; MBE)、物理氣相沈積包含熱沈積、脈衝雷射沈積、電子束蒸發、離子束輔助蒸發及濺射,或任何其他合適的膜形成方法。在化學氣相沈積之狀況下,在一些實施例中,源氣體包含TaCl5 及BCl3
第2A圖至第2G圖示意性地例示製造用於使用在極紫外線微影術(extreme ultraviolet lithography; EUVL)中之極紫外線光罩5的方法。應理解,可在由第2A圖至第2G圖所示的製程之前、期間及之後提供額外操作,且對於方法之額外實施例,可替換或消除以下所描述之操作中之一些。操作/製程之順序可為可互換的。
在極紫外線光罩5之製造中,將第一光阻劑層35形成在極紫外線光遮基底之硬遮罩層30上,且選擇性地使光阻劑層35暴露於光化輻射。在形成第一光阻劑層35之前,極紫外線光遮基底遭受檢查。選擇性地暴露的第一光阻劑層35經顯影以在第一光阻劑層35中形成圖案40。在一些實施例中,光化輻射為電子束或離子束。在一些實施例中,圖案40對應於極紫外線光罩5將在後續操作中用來形成的半導體元件特徵之圖案。
接下來,第一光阻劑層35中之圖案40延伸至硬遮罩層30中,從而在硬遮罩層30中形成圖案41,從而暴露吸收層25之部分,如第2B圖中所示。延伸至硬遮罩層30中的圖案41係藉由蝕刻形成,在一些實施例中,前述蝕刻使用對於硬遮罩層30選擇性的合適的濕式蝕刻劑或乾式蝕刻劑。在形成藉由硬遮罩層30之圖案41之後,第一光阻劑層35藉由光阻劑剝除器移除以暴露硬遮罩層30之上表面,如第2C圖中所示。
然後,硬遮罩層30中之圖案40延伸至吸收層25中,從而在硬遮罩層30中形成圖案42,從而暴露覆蓋層20之部分,如第2D圖中所示。延伸至吸收層25中的圖案42係藉由蝕刻形成,在一些實施例中,前述蝕刻使用對於吸收層25選擇性的合適的濕式蝕刻劑或乾式蝕刻劑。在一些實施例中,使用電漿乾式蝕刻。
如第2E圖中所示,第二光阻劑層45形成在吸收層25上,從而填充吸收層25中之圖案42。第二光阻劑層45選擇性地暴露於光化輻射諸如電子束或UV輻射。選擇性地暴露的第二光阻劑層45經顯影以在第二光阻劑層45中形成圖案50。圖案50對應於包圍電路圖案的黑色邊界。黑色邊界為藉由移除電路圖案區域周圍的區部中之極紫外線光罩上的所有多層創造的框架形區域。當將極紫外線光罩印刷在晶圓上時,黑色邊界經創造以防止鄰接場之暴露。在一些實施例中,黑色邊界之寬度在自約1 mm至約5 mm之範圍內。
接下來,第二光阻劑層45中之圖案50延伸至吸收層25、覆蓋層20,及Mo/Si多層15中,從而在吸收層25、覆蓋層20,及Mo/Si多層15中形成圖案51,從而暴露基材10之部分,如第2F圖中所示。圖案51係藉由蝕刻形成,在一些實施例中,前述蝕刻使用對於經蝕刻的層中之每一者選擇性的一或多個合適的濕式蝕刻劑或乾式蝕刻劑。在一些實施例中,使用電漿乾式蝕刻。
接著,藉由合適的光阻劑剝除器移除第二光阻劑層45,以暴露吸收層25之上表面。吸收層25、覆蓋層20,及Mo/Si多層15中之圖案51限定本揭示案之一些實施例中的光罩5之黑色邊界,如第2G圖中所示。在移除第二光阻劑層之後,光罩5經歷清潔操作、檢查,且根據需要修理光罩5,以提供完成的光罩5。
第3A圖、第3B圖、第3C圖及第3D圖示出根據本揭示案之實施例的極紫外線光遮的橫截面圖。
在本揭示案中,吸收層25具有多層結構,前述多層結構具有交替地堆疊的第一層120及第二層125。在一些實施例中,吸收層25包含作為第一層120的第一含鉻材料層及作為第二層125的第二含鉻材料層的一或多個交替對。在一些實施例中,第一含鉻材料層的材料為CrN,且第二含鉻材料層為CrON。在一些實施例中,CrON中之O及N之比在自約0.2:0.8至約0.8:0.2之範圍內。在其他實施例中,CrON中之O及N之比在自約0.4:0.6至約0.6:0.4之範圍內。在一些實施例中,O之量(原子百分比)等於或大於N之量,且在其他實施例中,O之量小於N之量。在一些實施例中,第一含鉻材料層為Crx Ni1-x N。在一些實施例中,0 ≤ x< 0.5,且在其他實施例中,0.5 ≤ x ≤ 1.0 。在一些實施例中,CrN材料為純CrN或Cr2 N及CrN之混合物。一般而言,Cr2 N比CrN更硬且因而具有相較於CrN的較低蝕刻速率。可藉由改變一或多個膜形成條件諸如氮流動速率來改變CrN層之組成。
在一些實施例中,第一層的材料為TaCo,且第二層的材料為TaCoO。在一些實施例中,第一層的材料為Hf,且第二層的材料為氧化鉿。
在一些實施例中,第一層120及第二層125為非晶形的。
在一些實施例中,第一層120及第二層125之對數為二個至六個。在其他實施例中,對數為三個、四個或五個。在某些實施例中,數目為三個。在一些實施例中,吸收層25包含底部吸收層110,前述底部吸收層設置在覆蓋層20與第一層120及第二層125之對之間。在一些實施例中,底部吸收層110為含Ta材料層,包含TaB、TaO、TaBO及/或TaBN。在某些實施例中,底部吸收層110為TaBO。底部吸收層110之厚度在一些實施例中在自約0.5 nm至約5 nm之範圍內,且在其他實施例中在自約1 nm至約3 nm之範圍內。在一些實施例中,層底部吸收器110具有2 nm±10%之厚度。
如第3A圖中所示,在一些實施例中,第二層125設置在每個對(例如,四個對)中之第一層120上。在其他實施例中,如第3B圖中所示,第一層120設置在每個對(例如,四個對)中之第一層125上。在第3A圖及第3B圖中,第一層120之數目等於第二層125之數目。
在一些實施例中,如第3C圖中所示,第二層125設置在每個對(例如,三個對)中之第一層120上,且額外的第一層120進一步形成於三個對上。因而,對數為3.5。在其他實施例中,如第3D圖中所示,第一層120設置在每個對(例如,三個對)中之第二層125上,且額外的第二層125進一步形成於三個對上。因而,對數為3.5。在第3B圖及第3C圖中,第一層120之數目不同於第二層125之數目,且數目之差異為一。
在一些實施例中,吸收層25包含如第3C圖中所示地交替堆疊的作為第一層120的四個CrN層及作為第二層125的三個CrON層。在其他實施例中,吸收層25包含如第3D圖中所示地交替堆疊的作為第一層120的三個CrN層及作為第二層125的四個CrON層。
在一些實施例中,第一層120及第二層125中之每一個之厚度在自1 nm至8 nm之範圍內。在一些實施例中,第一層120及第二層125中之每一個之厚度小於極紫外線光之波長之一半。在一些實施例中,第一層120及第二層125之厚度彼此相等。在其他實施例中,第一層120之厚度大於或小於第二層125之厚度。在一些實施例中,第一層120及/或第二層120之厚度為1 nm ± 10%、2 nm ± 10%、3 nm ± 10%、4 nm ± 10%、5 nm ± 10%、6 nm ± 10%、7 nm ± 10%,或8 nm ± 10%。在其他實施例中,第一層120及/或第二層120之厚度為1 nm ± 5%、2 nm ± 5%、3 nm ± 5%、4 nm ± 5%、5 nm ± 5%、6 nm ± 5%、7 nm ± 5%,或8 nm ± 5%。在一些實施例中,吸收層25中之第一層120之厚度變化小於第一層120之平均厚度之10%。在一些實施例中,吸收層25中之第二層125之厚度變化小於第二層125之平均厚度之10%。在一些實施例中,在距基材之距離增加時,第一層120及/或第二層125之厚度增加。在其他實施例中,在距基材之距離增加時,第一層120及/或第二層125之厚度減少。
在一些實施例中,吸收層25包含如第3C圖中所示地交替堆疊的作為第一層120的各自具有厚度6 nm±10%的四個CrN層及作為第二層125的各自具有5 nm±10%之厚度的三個CrON層。在其他實施例中,吸收層25包含如第3D圖中所示地交替堆疊的作為第一層120的各自具有厚度6 nm±10%的三個CrN層及作為第二層125的各自具有5 nm±10%之厚度的四個CrON層。
在一些實施例中,包含底部吸收層110及第一層120及第二層125之多個層的吸收層25之總厚度大於約30 nm且小於約50 nm。在某些實施例中,吸收層25之總厚度小於約45 nm。
第4A圖至第4G圖及第5A圖至第5G圖示意性地例示根據本揭示案之實施例的製造極紫外線反射光罩之順序操作。應理解,可在由第2A圖至第2G圖所示的過程之前、期間及之後提供額外操作,且對於方法之額外實施例,可替換或消除以下所描述之操作中之一些。操作/製程之順序可為可互換的。如關於先前實施例解釋的材料、組態、製程及/或尺寸可利用在以下實例中且可省略其詳細描述。
第4A圖至第4G圖示意性地例示根據本揭示案之實施例的製造基底極紫外線反射光罩之順序操作。在極紫外線光遮基底之製造中,將多層堆疊15形成在基材10上,如第4A圖中所示。在一些實施例中,多層堆疊15包含矽及鉬之多個交替層。在一些實施例中,每個矽層之厚度為約4 nm,且每個鉬層之厚度為約3 nm。在一些實施例中,矽及鉬層係藉由化學氣相沈積、電漿增強化學氣相沈積、原子層沈積、離子束沈積、濺射,或任何其他合適的膜形成方法形成。
然後,如第4B圖中所示,覆蓋層20及底部吸收層110形成於多層堆疊15上。在一些實施例中,覆蓋層包含Ru或Ru合金,且係藉由化學氣相沈積、電漿增強化學氣相沈積、原子層沈積、離子束沈積、濺射,或任何其他合適的膜形成方法形成。
底部吸收層110為含鉭材料層,包含TaB、TaO、TaBO及/或TaBN,前述含鉭材料層係藉由化學氣相沈積、電漿增強化學氣相沈積、原子層沈積、離子束沈積、濺射,或任何其他合適的膜形成方法形成。在某些實施例中,底部吸收層110為具有2 nm±10%之厚度的TaBO。在一些實施例中,底部吸收層110充當蝕刻終止層。
此外,如第4C圖至第4E圖中所示,第一層120及第二層125交替地形成於底部吸收層110上。在一些實施例中,將第一層120形成於底部吸收層110上,且然後將第二層125形成於第一層上。在其他實施例中,將第二層125形成於底部吸收層110上,且然後將第一層120形成於第一層上。如第4E圖中所示,重複第一層120及第二層125之形成以獲得吸收層25。
當第一層120係由CrN製成且第二層125係由CrON製成時,CrN層係藉由使用Cr靶及含氮氣體諸如N2 濺射形成,且CrON層係藉由使用Cr靶及含氮及氧的氣體諸如O2 及N2 之混合物濺射形成。藉由改變O2 及N2 之流動速率之比,可能控制CrON層中的O及N之比。濺射氣體可進一步含有Ar、He及/或Ne。在一些實施例中,藉由控制O2 之流動(接通/斷開),形成CrN及CrON之多層。
然後,如第4F圖中所示,硬遮罩層30形成於吸收層25上。硬遮罩層30為含鉭材料層,包含TaB、TaO、TaBO及/或TaBN,前述含鉭材料層係藉由化學氣相沈積、電漿增強化學氣相沈積、原子層沈積、離子束沈積、濺射,或任何其他合適的膜形成方法形成。在一些實施例中,硬遮罩層30之材料與底部吸收層相同或類似。在一些實施例中,硬遮罩層30之厚度在自約2 nm至約10 nm之範圍內。在某些實施例中,硬遮罩層30為具有6 nm±10%之厚度的TaBO。
此外,如第4G圖中所示,背側導電層45藉由化學氣相沈積、電漿增強化學氣相沈積、原子層沈積、離子束沈積、濺射,或任何其他合適的膜形成方法形成於基材10之背側上,藉此獲得遮罩基底。
第5A圖至第5G圖示意性地例示根據本揭示案之實施例的具有電路圖案之極紫外線反射光罩之順序操作。
如第5A圖中所示,光阻劑層35形成於極紫外線光遮基底之硬遮罩層30上。然後,如第5B圖中所示,選擇性地使光阻劑層35暴露於光化輻射100,諸如電子束或離子束。選擇性地暴露的光阻劑層35經顯影以在光阻劑層35中形成電路圖案40,如第5C圖中所示。
接下來,光阻劑層35中之圖案40延伸至硬遮罩層30中,從而在硬遮罩層30中形成圖案41,從而暴露吸收層25之頂部層(例如,第二層125或第一層120)之部分。延伸至硬遮罩層30中的圖案41係藉由蝕刻形成,在一些實施例中,前述蝕刻使用對於硬遮罩層30選擇性的合適的濕式蝕刻劑或乾式蝕刻劑。在形成藉由硬遮罩層30之圖案41之後,光阻劑層35藉由光阻劑剝除器移除以暴露硬遮罩層30之上表面,如第5E圖中所示。
然後,硬遮罩層30中之圖案41延伸至吸收層25中,從而在吸收層25中形成圖案42,如第5F圖中所示。因為底部吸收層110係由與硬遮罩層30相同的材料或類似的材料製成,所以蝕刻大體上停止在底部吸收層110之表面處,如第5F圖中所示。
當吸收層25之第一層120及第二層125係由含鉻之材料(CrN、CrON)製成時,在一些實施例中使用電漿乾式蝕刻,前述電漿乾式蝕刻使用含Cl氣體。在一些實施例中,含Cl氣體為Cl2 及/或CCl4 ,及O2 之混合物。在某些實施例中,使用Cl2 及O2 之混合物。
當第一層120的材料為CrN且第二層125的材料為CrON時,電漿使用Cl2 及O2 之混合物,藉由使用Cl2 及O2 之混合物的電漿之CrON之蝕刻速率高於CrN層之蝕刻速率。因此,蝕刻比吸收層25包含塊體CrN層的狀況更容易控制。例如,圖案42之垂直側之輪廓大體上垂直於基材10。在其他實施例中,含氟氣體諸如CF3 H及/或CF4 可與O2 氣體一起使用。
然後,如第5G圖中所示,藉由使用合適的蝕刻操作移除硬遮罩層30及底部吸收層110之暴露部分。用於硬遮罩層30及底部吸收層110的「類似」材料意味硬遮罩層30及底部吸收層110之暴露部分一起移除且底部吸收層110充當蝕刻終止層。
在如第5G圖中所示地形成電路圖案43之後,藉由如以第2E圖至第2G圖解釋的操作形成黑色邊界,且清潔操作及檢查操作經執行來提供完成的光罩。
第6A圖、第6B圖及第6C圖示出根據本揭示案之另一實施例的吸收層之多層結構的橫截面圖。
如以上所闡述,當第一層120及第二層125係由不同材料製成時,第一層之蝕刻速率不同於第二層之蝕刻速率。當第一層之蝕刻速率小於第二層之蝕刻速率時,在一些實施例中,蝕刻的吸收層25之側輪廓具有不均勻表面,如第6A圖中所示。在一些實施例中,第一層120之側向末端與第二層125之側向末端之間的距離D1在自約0.5 nm至約2 nm之範圍內。因為第一層120及第二層125中之每一個之厚度充分地小於極紫外線光之波長,所以不均勻性可不影響極紫外線微影術中的圖案化。
在一些實施例中,當第一層120之蝕刻速率為小的時,第一層120之側輪廓具有漸縮形狀,如第6B圖中所示。在一些實施例中,錐角TH在自約5度至約15度之範圍內。在其他實施例中,第二層125之側輪廓亦具有漸縮形狀,前述漸縮形狀具有在自約1度至約10度之範圍內的錐角,在一些實施例中,前述錐角小於第一層120之錐角TH。
此外,在一些實施例中,當第一層120的材料為CrN時,第一層120之蝕刻的側表面稍微氧化以形成氧化部分122 (CrON部分),如第6C圖中所示。在一些實施例中,氧化部分122之厚度D2在自約0.1 nm至約2 nm之範圍內。
第7圖示出各種吸收器結構之反射性的模擬結果。
線L1示出具有形成於2 nm TaBO層上的TaBN層的吸收層之反射性,線L2示出具有形成於2 nm TaBO層上的CrN層的吸收層之反射性,且線L3示出具有形成於2 nm TaBO層上的CrON層的吸收層之反射性。三角形形狀示出具有形成於2 nm TaBO層上的CrN及CrON交替層的吸收層之反射性,其中CrN層與TaBO層接觸。方形形狀示出具有形成於2 nm TaBO層上的CrN及CrON交替層的吸收層之反射性,其中CrON層與TaBO層接觸。CrN層中之每一個之厚度經設定至6 nm,且CrON層中之每一個之厚度經設定至5 nm。
如第7圖中所示,當吸收層具有TaBO層上的四個CrN層及三個CrON層(3.5個對,總厚度為41 nm)時,反射性為約1.7%。當吸收層具有TaBO層上的三個CrN層及四個CrON層((3.5個對,總厚度為40 nm)時,反射性為約2.0%。為獲得小於2%的反射性,在線L1之狀況下,約70 nm的厚度為必要的。CrN及CrON之多層結構可在小於約45 nm的總吸收器厚度的情況下達成小於約2.0%的低反射性。
第8A圖示出製作半導體元件的方法的流程圖,且第8B圖、第8C圖、第8D圖及第8E圖示出根據本揭示案之實施例的製作半導體元件之方法之順序製造操作。提供將要圖案化以在上面形成積體電路的半導體基材或其他合適的基材。在一些實施例中,半導體基材包含矽。替代地或另外,半導體基材包含鍺、矽鍺或其他合適的半導體材料,諸如第III-V族半導體材料。在第8A圖之S101處,將要圖案化的靶層形成半導體基材上。在某些實施例中,靶層為半導體基材。在一些實施例中,靶層包含諸如金屬層或多晶矽層的導電層、諸如氧化矽、氮化矽、SiON、SiOC、SiOCN、SiCN、氧化鉿或氧化鋁的介電質層,或諸如磊晶形成的半導體層的半導體層。在一些實施例中,將靶層形成於諸如隔離結構、電晶體或導線的下層結構上。在第8A圖之S102處,將光阻劑層形成於靶層上,如第8B圖中所示。光阻劑層在後續光微影術暴露製程期間對來自暴露源的輻射敏感。在本實施例中,光阻劑層對光微影術暴露製程中所使用的極紫外線光敏感。光阻劑層可藉由旋壓塗佈或其他合適的技術形成於靶層上。可進一步烘烤塗佈的光阻劑層以逐出光阻劑層中之溶劑。在第8A圖之S103處,使用如以上所闡述的極紫外線反射遮罩圖案化光阻劑層,如第8B圖中所示。光阻劑層之圖案化包含藉由使用極紫外線遮罩的極紫外線暴露系統來執行光微影術暴露製程。在暴露製程期間,限定於極紫外線遮罩上的積體電路(integrated circuit; IC)設計圖案經成像至光阻劑層以在上面形成潛在圖案。光阻劑層之圖案化更包含使暴露光阻劑層顯影以形成具有一或多個開口的圖案化光阻劑層。在其中光阻劑層為正色調光阻劑層的一個實施例中,在顯影製程期間移除光阻劑層之暴露部分。光阻劑層之圖案化更包含其他製程步驟,諸如不同級段處的各種烘烤步驟。例如,可在暴露製程之後且在顯影製程之前實行曝光後烘烤(post-exposure-baking; PEB)製程。
在第8A圖之S104處,利用圖案化光阻劑層作為蝕刻遮罩來圖案化靶層,如第8D圖中所示。在一些實施例中,圖案化靶層包含使用圖案化光阻劑層作為蝕刻遮罩來將蝕刻製程施加至靶層。暴露在圖案化光阻劑層之開口內的靶層之部分經蝕刻,而剩餘部分經保護免於蝕刻。此外,可藉由濕式剝離或電漿灰化移除圖案化光阻劑層,如第8E圖中所示。
在本揭示案中,藉由將多層結構使用於吸收層,即使吸收層包含低蝕刻速率材料(例如,CrN),亦可能改良蝕刻速率且獲得圖案化吸收層處的大體上垂直側輪廓。此外,有可能獲得具有充分低反射率(高吸收)的較薄吸收層。
將理解,並非所有優點必定已在本文中予以論述,且無特定優點為所有實施例或實例所需要的,且其他實施例或實例可提供不同的優點。
根據本申請案之一個態樣,反射遮罩包含基材、設置在基材上的反射多層、設置在反射多層上的覆蓋層,及設置在覆蓋層上的吸收層。吸收層包含第一含鉻材料層及第二含鉻材料層的一或多個交替對,前述第二含鉻材料層不同於第一含鉻材料層。在先前及以下實施例中之一或多個中,第一含鉻材料層為CrN或CrNiN,且第二含鉻材料層為CrON。在先前及以下實施例中之一或多個中,第一含鉻材料層及第二含鉻材料層的對之數目為三個至六個。在先前及以下實施例中之一或多個中,吸收層包含第一含鉻材料層及第二含鉻材料層的三個對。在先前及以下實施例中之一或多個中,在三個對中之每個對中,第二含鉻材料層設置在第一含鉻材料層上。在先前及以下實施例中之一或多個中,吸收層更包含設置在三個對上的頂部含鉻材料層,且頂部含鉻材料層係由與第一含鉻材料層相同的材料製成。在先前及以下實施例中之一或多個中,在三個對中之每個對中,第一含鉻材料層設置在第二含鉻材料層上。在先前及以下實施例中之一或多個中,吸收層更包含設置在三個對上的頂部含鉻材料層,且頂部含鉻材料層係由與第二含鉻材料層相同的材料製成。在先前及以下實施例中之一或多個中,吸收層更包含介於覆蓋層與第一含鉻材料層及第二含鉻材料層之一或多個交替對之間的含Ta材料層,前述一或多個交替對為三個至六個對。
根據本揭示案之另一態樣,反射遮罩包含基材、設置在基材上的反射多層、設置在反射多層上的覆蓋層,及設置在覆蓋層上的吸收層。吸收層包含交替地堆疊的CrN層及CrON層,且CrN層中之每一個之厚度在自1 nm至8 nm之範圍內且CrON層中之每一個之厚度在自1 nm至8 nm之範圍內。在先前及以下實施例中之一或多個中,吸收層之總厚度小於45 nm。在先前及以下實施例中之一或多個中,CrN層中之每一個之厚度大於CrON層中之每一個之厚度。在先前及以下實施例中之一或多個中,CrN層中之每一個之厚度為6 nm ±10%且CrON層中之每一個之厚度為5 nm ±10%。在先前及以下實施例中之一或多個中,CrN層之數目為四且CrON層之數目為三,且CrN層中之一個與覆蓋層接觸。在先前及以下實施例中之一或多個中,CrN層之數目等於CrON層之數目。在先前及以下實施例中之一或多個中,CrN層之數目與CrON層之數目之間的差異為一個。
根據本揭示案之另一態樣,反射遮罩包含基材、設置在基材上的反射多層、設置在反射多層上的覆蓋層,及設置在覆蓋層上的吸收層。吸收層包含第一層及第二層之二或更多個對,前述第二層不同於第一層。在先前及以下實施例中之一或多個中,第一層的材料為CrN或CrNiN,且第二層的材料為CrON。在先前及以下實施例中之一或多個中,第一層的材料為TaCo,且第二層的材料為TaCoO。在先前及以下實施例中之一或多個中,第一層的材料為Hf,且第二層為氧化鉿。
根據本揭示案之一個態樣,在製造半導體元件之方法中,將靶層形成在基材上,將光阻劑層形成在靶層上,使用反射光罩藉由極紫外線微影術操作圖案化光阻劑層,且藉由使用圖案化光阻劑層作為蝕刻遮罩來圖案化靶層。反射光罩為如以上所闡述的反射遮罩中之任何反射遮罩之反射遮罩。
根據本揭示案之一個態樣,在製造反射遮罩之方法中,將光阻劑層形成在遮罩基底上。遮罩基底包含基材、基材上的反射多層、反射多層上的覆蓋層、覆蓋層上的吸收層,及硬遮罩層。光阻劑層經圖案化。藉由使用圖案化光阻劑層來圖案化硬遮罩層。藉由使用圖案化硬遮罩層來圖案化吸收層。吸收層包含第一含鉻材料層及第二含鉻材料層的二或更多個對,前述第二含鉻材料層不同於第一含鉻材料層。在先前及以下實施例中之一或多個中,吸收層更包含介於覆蓋層與第一含鉻材料層及第二含鉻材料層的二或更多個對之間的含鉭材料層,且硬遮罩層係由含鉭之材料製成。在先前及以下實施例中之一或多個中,在硬遮罩層經圖案化之後,移除圖案化光阻劑層。在圖案化吸收層中,第一含鉻材料層及第二含鉻材料層的二或更多個對經圖案化以形成空間,且硬遮罩層及含鉭材料層之一部分在空間中經移除。在先前及以下實施例中之一或多個中,第一含鉻材料層為氮化鉻,且第二含鉻材料層為氮氧化鉻及第二含鉻材料層的對之數目為三個至六個。在先前及以下實施例中之一或多個中,吸收層包含第一含鉻材料層及第二含鉻材料層的三個對。在先前及以下實施例中之一或多個中,藉由使用含氯氣體的電漿蝕刻來圖案化吸收層。在先前及以下實施例中之一或多個中,含氯氣體為Cl2 及O2 之混合物。在先前及以下實施例中之一或多個中,含氯氣體為CCl4 及O2 之混合物。
根據本揭示案之另一態樣,在製造反射遮罩之方法中,將光阻劑層形成於遮罩基底上。遮罩基底包含基材、基材上的反射多層、反射多層上的覆蓋層、覆蓋層上的吸收層,及硬遮罩層。光阻劑層經圖案化。藉由使用圖案化光阻劑層來圖案化硬遮罩層。藉由使用圖案化硬遮罩層來圖案化吸收層。吸收層包含交替地堆疊的氮化鉻層及氮氧化鉻層。在先前及以下實施例中之一或多個中,氮化鉻層中之每一個之厚度在自1 nm至8 nm之範圍內且氮氧化鉻層中之每一個之厚度在自1 nm至8 nm之範圍內。在先前及以下實施例中之一或多個中,吸收層之總厚度小於45 nm。在先前及以下實施例中之一或多個中,氮化鉻層中之每一個之厚度大於氮氧化鉻層中之每一個之厚度。在先前及以下實施例中之一或多個中,氮化鉻層中之每一個之厚度為6 nm ±5%且氮氧化鉻層中之每一個之厚度為5 nm ±5%。在先前及以下實施例中之一或多個中,氮化鉻層之數目為四且氮氧化鉻層之數目為三,且氮化鉻層中之一個與覆蓋層接觸。在先前及以下實施例中之一或多個中,氮化鉻層之數目等於氮氧化鉻層之數目。在先前及以下實施例中之一或多個中,氮化鉻層之數目與氮氧化鉻層之數目之間的差異為一個。
根據本揭示案之另一態樣,在製造反射遮罩之方法中,將光阻劑層形成於遮罩基底上。遮罩基底包含基材、基材上的反射多層、反射多層上的覆蓋層、覆蓋層上的吸收層,及硬遮罩層。光阻劑層經圖案化。藉由使用圖案化光阻劑層來圖案化硬遮罩層。藉由使用圖案化硬遮罩層來圖案化吸收層。吸收層包含第一層及第二層之二或更多個對,前述第二層不同於第一層。在先前及以下實施例中之一或多個中,第一層為氮化鉻或氮化鎳鉻,且第二層為氮氧化鉻。在先前及以下實施例中之一或多個中,第一層為TaCo,且第二層為TaCoO。
前述內容概述若干實施例或實例之特徵,使得熟習此項技術者可更好地理解本揭示案之態樣。熟習此項技術者應瞭解,他們可容易地將本揭示案用作設計或修改其他製程及結構之基礎,以用於實行相同目的及/或達成本文引入的實施例或實例之相同優點。熟習此項技術者亦應認識到此類等效構造不脫離本揭示案之精神及範疇,且他們可在不脫離本揭示案之精神及範疇的情況下在本文中做出各種變化、置換及變更。
TH:錐角 D1:距離 D2:厚度 L1、L2、L3:線 5:極紫外線光罩基底 10:基材 15:多層Mo/Si堆疊 20:覆蓋層 25:吸收層/吸收層 30:硬遮罩層 35:第一光阻劑層 40:圖案 41:圖案 42:圖案 43:電路圖案 45:背側導電層/第二光阻劑層 50:圖案 51:圖案 100:光化輻射 110:底部吸收層 120:第一層 122:氧化部分 125:第二層 S101~S104:步驟
當與附圖一起閱讀時自以下詳細描述更好地理解本揭示案。應強調,根據工業中之標準實踐,各種特徵並未按比例繪製且僅用於說明目的。實際上,可出於論述之清晰性而任意地增加或減少各種特徵之尺寸。 第1圖示出根據本公揭示案之一實施例的極紫外線(extreme ultraviolet; EUV)光罩基底。 第2A圖、第2B圖、第2C圖、第2D圖、第2E圖、第2F圖及第2G圖示意性地例示根據本公揭示案之一實施例的製造極紫外線光罩之方法。 第3A圖、第3B圖、第3C圖及第3D圖示出根據本揭示案之實施例的極紫外線光罩的橫截面圖。 第4A圖、第4B圖、第4C圖、第4D圖、第4E圖、第4F圖及第4G圖示出根據本公揭示案之一實施例的極紫外線光罩之順序製造操作。 第5A圖、第5B圖、第5C圖、第5D圖、第5E圖、第5F圖及第5G圖示出根據本公揭示案之一實施例的極紫外線光罩之順序製造操作。 第6A圖、第6B圖及第6C圖示出根據本揭示案之另一實施例的吸收層之多層結構的橫截面圖。 第7圖示出各種吸收器結構之反射性的模擬結果。 第8A圖示出製作半導體元件的方法的流程圖,且第8B圖、第8C圖、第8D圖及第8E圖示出根據本揭示案之實施例的製作半導體元件之方法之順序製造操作。
25:吸收層/吸收層
43:電路圖案
51:圖案

Claims (20)

  1. 一種反射遮罩,包含: 一基材; 一反射多層,設置在該基材上; 一覆蓋層,設置在該反射多層上;以及 一吸收層,設置在該覆蓋層上, 其中該吸收層包含交替設置之一對或多對一第一含鉻材料層以及一第二含鉻材料層,該第二含鉻材料層不同於該第一含鉻材料層。
  2. 如請求項1所述之反射遮罩,其中該第一含鉻材料層的材料為氮化鉻或氮化鎳鉻,且該第二含鉻材料層的材料為氮氧化鉻。
  3. 如請求項2所述之反射遮罩,其中該些對該第一含鉻材料層以及該第二含鉻材料層的數目為三對至六對。
  4. 如請求項2所述之反射遮罩,其中該吸收層包含三對該第一含鉻材料層以及該第二含鉻材料層。
  5. 如請求項4所述之反射遮罩,其中在每一該些三對中,該第二含鉻材料層設置在該第一含鉻材料層上。
  6. 如請求項5所述之反射遮罩,其中該吸收層更包含設置在該些三對上的一頂部含鉻材料層,且該頂部含鉻材料層係由與該第一含鉻材料層相同的一材料所製成。
  7. 如請求項4所述之反射遮罩,其中在每一該些三對中,該第一含鉻材料層設置在該第二含鉻材料層上。
  8. 如請求項7所述之反射遮罩,其中該吸收層更包含設置在該些三對上的一頂部含鉻材料層,且該頂部含鉻材料層係由與該第二含鉻材料層相同的一材料所製成。
  9. 如請求項2所述之反射遮罩,其中該吸收層更包含一含鉭材料,該含鉭材料層位於該覆蓋層與交替設置之該些對該第一含鉻材料層以及該第二含鉻材料層之間,且該些對該第一含鉻材料層以及該第二含鉻材料層的數目為三對至六對。
  10. 一種反射遮罩,包含: 一基材; 一反射多層,設置在該基材上; 一覆蓋層,設置在該反射多層上;以及 一吸收層,設置在該覆蓋層上, 其中該吸收層包含交替堆疊之複數個氮化鉻層以及複數個氮氧化鉻層,每一該些氮化鉻層的一厚度位於自1 nm至8 nm之範圍內,且每一該些氮氧化鉻層的一厚度位於自1 nm至8 nm之範圍內。
  11. 如請求項10所述之反射遮罩,其中該吸收層的總厚度小於45 nm。
  12. 如請求項10所述之反射遮罩,其中每一該些氮化鉻層的該厚度大於每一該些氮氧化鉻層的該厚度。
  13. 如請求項10所述之反射遮罩,其中每一該些氮化鉻層的該厚度為6 nm ±10%,且每一該些氮氧化鉻層的該厚度為5 nm ±10%。
  14. 如請求項13所述之反射遮罩,其中該些氮化鉻層的數目為四,該些氮氧化鉻層的數目為三,且該些氮化鉻層中的一者接觸於該覆蓋層。
  15. 如請求項10所述之反射遮罩,其中該些氮化鉻層的數目等於該些氮氧化鉻層的數目。
  16. 如請求項10所述之反射遮罩,其中該些氮化鉻層的數目與該些氮氧化鉻層的數目之間的差異為一。
  17. 一種反射遮罩之製造方法,包含: 將一光阻劑層形成在一遮罩基底上,其中該遮罩基底包含一基材、位於該基材上的一反射多層、位於該反射多層上的一覆蓋層、位於該覆蓋層上的一吸收層以及一硬遮罩層; 圖案化該光阻劑層; 藉由使用該圖案化光阻劑層來圖案化該硬遮罩層;以及 藉由使用該圖案化硬遮罩層來圖案化該吸收層, 其中該吸收層包含的二對或更多對一第一含鉻材料層以及一第二含鉻材料層,該第二含鉻材料層不同於該第一含鉻材料層。
  18. 如請求項17所述之方法,其中該吸收層更包含一含鉭材料層,該含鉭材料層位於該覆蓋層與該二對或該更多對該第一含鉻材料層以及該第二含鉻材料層之間,且該硬遮罩層係由一含鉭之材料所製成。
  19. 如請求項18所述之方法,其中在該硬遮罩層經圖案化之後,移除該圖案化光阻劑層,且圖案化該吸收層包含: 圖案化該二對或該更多對該第一含鉻材料層以及該第二含鉻材料層以形成一空間; 在該空間中移除該硬遮罩層以及該含鉭材料層之一部分。
  20. 如請求項17所述之方法,其中該第一含鉻材料層的材料為氮化鉻,且該第二含鉻材料層的材料為氮氧化鉻。
TW109142511A 2020-01-16 2020-12-02 反射遮罩及其製造方法 TWI742950B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/744,732 2020-01-16
US16/744,732 US11204545B2 (en) 2020-01-16 2020-01-16 EUV photo masks and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW202129705A true TW202129705A (zh) 2021-08-01
TWI742950B TWI742950B (zh) 2021-10-11

Family

ID=76650469

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109142511A TWI742950B (zh) 2020-01-16 2020-12-02 反射遮罩及其製造方法

Country Status (5)

Country Link
US (3) US11204545B2 (zh)
KR (1) KR102359753B1 (zh)
CN (1) CN113126420A (zh)
DE (1) DE102020102450B4 (zh)
TW (1) TWI742950B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI830460B (zh) * 2021-10-26 2024-01-21 美商杰米納帝歐股份有限公司 用於多色曝光之局部陰影遮罩法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11619875B2 (en) 2020-06-29 2023-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046000A1 (de) 2006-09-27 2007-08-30 Schott Ag EUV Maskenblank und Verfahren zu dessen Herstellung
WO2010074125A1 (ja) * 2008-12-26 2010-07-01 Hoya株式会社 反射型マスクブランク及び反射型マスクの製造方法
JP6125772B2 (ja) 2011-09-28 2017-05-10 Hoya株式会社 反射型マスクブランク、反射型マスクおよび反射型マスクの製造方法
JP5921953B2 (ja) 2012-03-28 2016-05-24 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
JP6287099B2 (ja) * 2013-05-31 2018-03-07 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
KR102101837B1 (ko) 2013-06-11 2020-04-17 삼성전자 주식회사 포토마스크, 포토마스크의 레지스트레이션 에러 보정 방법, 포토마스크를 이용하여 제조된 집적 회로 및 그 제조 방법
KR101713382B1 (ko) 2013-11-22 2017-03-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 극자외선 리소그래피 공정 및 마스크
US9195132B2 (en) 2014-01-30 2015-11-24 Globalfoundries Inc. Mask structures and methods of manufacturing
DE102014222026B3 (de) 2014-10-29 2016-02-18 Physik Instrumente (Pi) Gmbh & Co. Kg Ultraschallmotor
TWI730139B (zh) * 2016-07-27 2021-06-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
TWI821984B (zh) 2016-07-27 2023-11-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造極紫外線遮罩坯料的方法
SG11201907622YA (en) * 2017-03-02 2019-09-27 Hoya Corp Reflective mask blank, reflective mask and manufacturing method thereof, and semiconductor device manufacturing method
US11086215B2 (en) 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
JP6678269B2 (ja) 2019-03-15 2020-04-08 Hoya株式会社 反射型マスクブランク及び反射型マスク

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI830460B (zh) * 2021-10-26 2024-01-21 美商杰米納帝歐股份有限公司 用於多色曝光之局部陰影遮罩法

Also Published As

Publication number Publication date
US11204545B2 (en) 2021-12-21
KR20210093137A (ko) 2021-07-27
US20220113620A1 (en) 2022-04-14
CN113126420A (zh) 2021-07-16
US11726399B2 (en) 2023-08-15
DE102020102450B4 (de) 2022-02-10
KR102359753B1 (ko) 2022-02-08
US20210223679A1 (en) 2021-07-22
US20230350283A1 (en) 2023-11-02
DE102020102450A1 (de) 2021-07-22
TWI742950B (zh) 2021-10-11

Similar Documents

Publication Publication Date Title
US20230350283A1 (en) Euv photo masks and manufacturing method thereof
US20210373430A1 (en) Euv photo masks and manufacturing method thereof
TW202020552A (zh) 光罩之製造方法
US11886109B2 (en) EUV photo masks and manufacturing method thereof
US20230251563A1 (en) Euv photo masks and manufacturing method thereof
US11829062B2 (en) EUV photo masks and manufacturing method thereof
TWI767567B (zh) 反射遮罩及其製造方法
TWI760057B (zh) 反射遮罩及其製造方法
US12013630B2 (en) EUV photo masks and manufacturing method thereof
TWI785481B (zh) 反射遮罩及其製造方法
TWI790020B (zh) 反射型罩幕及其製造方法
US11506969B2 (en) EUV photo masks and manufacturing method thereof
US20230314927A1 (en) Euv photo masks and manufacturing method thereof