TW202020552A - 光罩之製造方法 - Google Patents

光罩之製造方法 Download PDF

Info

Publication number
TW202020552A
TW202020552A TW108129153A TW108129153A TW202020552A TW 202020552 A TW202020552 A TW 202020552A TW 108129153 A TW108129153 A TW 108129153A TW 108129153 A TW108129153 A TW 108129153A TW 202020552 A TW202020552 A TW 202020552A
Authority
TW
Taiwan
Prior art keywords
layer
photoresist
photoresist layer
substrate
absorption
Prior art date
Application number
TW108129153A
Other languages
English (en)
Inventor
許倍誠
連大成
林秉勳
王士哲
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202020552A publication Critical patent/TW202020552A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Abstract

製造光罩包含形成保護層於基板上方。形成複數個反射薄膜多層於保護層上方。形成覆蓋層於複數個反射薄膜多層上方。形成吸收層於覆蓋層上方。形成第一光阻層於部分之吸收層上方。圖案化部分之第一光阻層與吸收層,而形成第一開口於吸收層中。第一開口暴露出部分之覆蓋層。移除第一光阻層之剩餘部分,並形成第二光阻層於部分之吸收層上方。第二光阻層至少覆蓋第一開口。圖案化未被第二光阻層覆蓋之吸收層、覆蓋層、與複數個反射薄膜多層的部分,而形成第二開口。第二開口暴露出部分之保護層,且移除第二光阻層。

Description

光罩之製造方法
本揭露之實施方式是有關於光罩及其製造方法。
極紫外光微影對於10nm節點與之後的半導體元件製造是最有前景之技術。相較於傳統微影技術,極紫外光微影利用極紫外光來將電路布局圖案從反射式極紫外光光罩(亦稱為「極紫外光光罩(EUV mask)」或「倍縮光罩(reticle)」)轉移至半導體晶粒。對於極紫外光光罩,應優化多個光罩參數,以實現在極紫外光微影中形成精確且高解析度之圖案。這樣的參數包含但不限於,吸收體之高度、陰影修正(shadowing correction)所需之最佳光學近接效應修正(OPC)、像場(image field)中增加之反射性、以及最佳影像邊界。
極紫外光光罩可能在黑邊界(black border)區需要處理流程(例如,蝕刻),以移除吸收層/釕(Ru)/40對多層,藉以在晶圓印刷期間限制鄰近晶粒問題。低熱膨脹係數材料(low thermal expansion material,LTEM)可能在處 理流程期間受損。暴露出之低熱膨脹係數材料可能在極紫外光晶圓印刷期間受到照射,且微粒可能會在照射製程期間傳入。這些微粒可能遷移至光罩之圖案區而造成影像誤差。
本揭露之一實施方式係一種光罩之製造方法,包含形成保護層於基板上方,其中保護層保護基板免受極紫外光(EUV)輻射。形成複數個反射薄膜多層於保護層上方。形成覆蓋層於複數個反射薄膜多層上方。形成吸收層於覆蓋層上方。形成第一光阻層於部分之吸收層上方。圖案化部分之第一光阻層與吸收層,藉以形成第一開口於吸收層中。第一開口暴露出部分之覆蓋層。移除第一光阻層之剩餘部分。形成第二光阻層於部分之吸收層上方。第二光阻層至少覆蓋第一開口。圖案化未被第二光阻層覆蓋之吸收層、覆蓋層、與複數個反射薄膜多層的部分,藉以形成第二開口。第二開口暴露出部分之保護層。移除第二光阻層。
100‧‧‧光罩
102‧‧‧基板
104‧‧‧保護層
105‧‧‧鉬
106‧‧‧多層
107‧‧‧矽
108‧‧‧覆蓋層
109‧‧‧第一層
110‧‧‧吸收層
111‧‧‧第二層
112‧‧‧盲層
200‧‧‧光罩
202‧‧‧光阻層
204‧‧‧開口
206‧‧‧光阻層
208‧‧‧開口
300‧‧‧曲線圖/光罩
302‧‧‧保護層
400‧‧‧曲線圖
600‧‧‧曲線圖
800‧‧‧方法
S810‧‧‧操作
S820‧‧‧操作
S830‧‧‧操作
S840‧‧‧操作
S850‧‧‧操作
S860‧‧‧操作
S870‧‧‧操作
S880‧‧‧操作
S890‧‧‧操作
S8100‧‧‧操作
900‧‧‧方法
S910‧‧‧操作
S920‧‧‧操作
S930‧‧‧操作
S940‧‧‧操作
S950‧‧‧操作
S960‧‧‧操作
S970‧‧‧操作
S980‧‧‧操作
S990‧‧‧操作
S9100‧‧‧操作
從以下結合所附圖式所做的詳細描述,可對本揭露之實施方式有更佳的了解。需強調的是,根據業界的標準實務,各特徵並未依比例繪示,且僅作為例示之目的。事實上,為了使討論更為清楚,各特徵的尺寸都可任意地增加或減少。
〔圖1〕係繪示依照一些示範實施方式之一種極紫外光光罩,其包含保護層沉積在低熱膨脹係數材料基板上。
〔圖2A〕、〔圖2B〕、〔圖2C〕、〔圖2D〕、〔圖2E〕、〔圖2F〕、與〔圖2G〕係繪示依照本揭露之一些實施方式之一種製造光罩之不同處理步驟的剖面圖。
〔圖3〕係繪示依照本揭露之一些實施方式之因黑邊界(black border,BB)在包含釕之保護層存在之下的反射率變化的曲線圖。
〔圖4〕係繪示依照本揭露之一些實施方式之因黑邊界在包含鉻(Cr)之保護層存在之下的反射率變化的曲線圖。
〔圖5A〕、〔圖5B〕、〔圖5C〕、〔圖5D〕、〔圖5E〕、〔圖5F〕、與〔圖5G〕係繪示依照本揭露之一些實施方式之一種製造光罩之不同處理步驟的剖面圖。
〔圖6〕係繪示依照本揭露之一些實施方式之因黑邊界與複數個反射薄膜多層之最底層的存在的反射率變化的曲線圖。
〔圖7A〕、〔圖7B〕、〔圖7C〕、〔圖7D〕、〔圖7E〕、〔圖7F〕、〔圖7G〕、與〔圖7H〕係繪示依照本揭露之一些實施方式之一種製造光罩之不同處理步驟的剖面圖。
〔圖8〕係繪示依照本揭露之一實施方式之一種光罩之製造方法的流程圖。
〔圖9〕係繪示依照本揭露之一實施方式之一種光罩之製造方法的流程圖。
以下的揭露提供了許多不同實施方式或例子,以實施所揭露之標的之不同特徵。以下描述之構件與安排的特定例子係用以簡化本揭露之實施方式。當然,這些僅為例子,並非用以作為限制。舉例而言,於描述中,第一特徵形成於第二特徵之上方或之上,可能包含第一特徵與第二特徵以直接接觸的方式形成的實施方式,亦可能包含額外特徵可能形成在第一特徵與第二特徵之間的實施方式,如此第一特徵與第二特徵可能不會直接接觸。此外,本揭露之實施方式可能會在各例子中重複參考數字及/或文字。這樣的重複係基於簡化與清楚之目的,以其本身而言並非用以指定所討論之各實施方式及/或配置之間的關係。
再者,在此可能會使用空間相對用語,例如「在下(beneath)」、「下方(below)」、「較低(lower)」、「上方(above)」、「較高(upper)」與類似用語,以方便說明來描述如圖式所繪示之一構件或一特徵與另一(另一些)構件或特徵之間的關係。除了在圖中所繪示之方位外,這些空間相對用詞意欲含括元件在使用或操作中的不同方位。設備/元件可能以不同方式定位(旋轉90度或在其他方位上),因此可利用同樣的方式來解釋在此所使用之空間相對描述符號。此外,用語「由…製成(made of)」可意指「包含 (comprising)」或「由…組成(consisting of)」。在本揭露之實施方式中,「A、B、和C中之一者」的用語是表示「A、B、及/或C」(A,B,C,A及B,A及C,B及C,或A、B、及C),而非表示來自A的一個元件、來自B的一個元件、和來自C的一個元件,除非另有說明。
雖然在此所揭露之方法係例示或描述為一系列之動作或項目,但將了解的是,這些動作或項目之所例示的次序並不是要以限制的想法來解讀。舉例而言,一些動作可能以不同次序及/或與脫離在此所例示及/或描述的那些動作或項目的其他動作或項目同時發生。此外,可不需要所有例示的動作來實施在此描述之一或多個態樣或實施方式。再者,可以一或多個獨立動作及/或階段來實現在此所描述之動作中的一或多個動作。
如「模擬(simulate)」、「優化(optimize)」、「調整(adjust)」、「產生(creating)」、「製造(manufacture)」、「製造(fabricate)」、「製作(make)」、「形成(form)」、「比較(compare)」、「產生(generate)」、「選擇(choose)」、「判斷(determine)」、「計算(calculate)」、「量測(measure)」等用語用以描述所揭露之方法、設備、或系統的操作。這些用語包含例如利用一或多個電腦所進行之操作,這些電腦與網路連接或不連接,且具有使用者介面以接收使用者/設計者之輸入及/或指令、以及數據通訊介面或網路介面以便與半導體製造機台以及半導體測試[或特性分析(characterization)、測量 (measurement)、量測(metrology)]機台交換數據,半導體製造機台包含但不限於微影機台與電子束曝寫機(e-beam writer),半導體測試機台包含但不限於掃描電子顯微鏡。對應於這些用語之操作可根據特定實施而改變,且在此技術領域中具有通常知識者可輕易識別。
極紫外光光罩具有黑邊界區,其上方設有極紫外光微影機台之遮罩擋板。黑邊界是圍繞光罩上之晶粒之無圖案的黑暗區,黑暗區作為光罩被倍縮光罩遮罩擋板(reticle masking blade,REMA blade)擋住曝光光線的部分與晶粒之間的過渡區域。在極紫外光掃描機上之密集間距處印刷一晶粒時,來自影像邊界之極紫外光光線反射與相鄰晶粒之邊緣重疊。此反射光亦含有許多不需要的波長,已知為頻外(out-of-band,OOB)光。頻外光對於欲形成在基板上之圖案的準確度造成負面影響,特別是圍繞基板上之圖案之周圍的區域。此外,因為殘留吸收體的反射性與倍縮光罩遮罩擋板的不穩定性,在相鄰晶粒的曝光期間會發生極紫外光輻射的洩漏,導致晶粒邊緣周圍的過度曝光。為了減輕此效應,將黑邊界區設置在相鄰晶粒之間。黑邊界區可解決相鄰晶粒曝光所造成之關鍵尺寸的不一致性。
極紫外光光罩可能在黑邊界區需要處理流程(例如,蝕刻),以移除吸收層/釕/40對多層,藉以在晶圓印刷期間限制鄰近晶粒問題。低熱膨脹係數材料可能在處理流程期間受損。暴露出之低熱膨脹係數材料可能在極紫外光晶 圓印刷期間受到照射,且微粒可能會在照射製程期間傳入。這些微粒可能遷移至光罩之圖案區而造成影像誤差。
在此所揭露之實施方式在極紫外光光罩基底(blank)之低熱膨脹係數材料(LTEM)基板上使用保護層。保護層可在黑邊界區中進行處理流程(例如,蝕刻)時作為終止層,亦可在極紫外光照射期間限制來自黑邊界區之低熱膨脹係數材料基板之微粒的產生。在另一實施方式中,反射多層(ML)在黑邊界照射製程期間並未被完全蝕刻,而保留多層中的一些(1~2對),以在極紫外光照射期間限制來自黑邊界區之低熱膨脹係數材料基板之微粒的產生。在又一實施方式中,保護層沉積在黑邊界區中。黑邊界為框形區,其係利用移除電路圖案區周圍之區域中之極紫外光光罩上的所有多層而形成。黑邊界係形成以在將極紫外光光罩印刷至晶圓上時防止相鄰場的曝光。在一些實施方式中,黑邊界之寬度的範圍從約1mm至約5mm。
圖1係繪示依照一些示範實施方式之一種極紫外光光罩100,其包含保護層104沉積在低熱膨脹係數材料基板102上。在許多實施方式中,低熱膨脹係數材料基板包含熔融石英、二氧化鈦(TiO2)摻雜之二氧化矽(SiO2)、或其他具低熱膨脹係數之適合材料。在一些實施方式中,保護層104包含一層釕,或一層包含釕的材料,例如氮化釕(RuN)、釕硼化物(RuB)等等。在其他實施方式中,保護層104包含一層包含材料之鉭(Ta),例如氧化鉭硼(TaBO)、氮化鉭(TaN)、氮氧化鉭(TaON)等等。在一實施方式中, 包含一層包含材料之鉭的保護層104的厚度為約2nm至約14nm。在一些其他實施方式中,保護層104包含一層包含材料的鉻,例如氮氧化鉻(CrON)、氮化鉻(CrN)等等。在一實施方式中,包含一層包含材料之鉻的保護層104的厚度為約5.5nm至約9nm,或者約14nm至約16nm。這樣的厚度提供低反射率。在又一些其他實施方式中,保護層104包含一層包含材料的矽,例如氮化矽(Si3N4)、碳化矽(SiC)、一氮化矽(SiN)等等。在一實施方式中,包含一層包含材料之矽的保護層104的厚度為約1nm至約50nm。其他實施方式包含保護層104,此保護層104包含一層包含材料的鈦(Ti),例如氮化鈦(TiN)與二氧化鈦(TiO2)。在一實施方式中,包含一層包含材料之鈦的保護層104的厚度為約1nm至約50nm。於又一些實施方式中,保護層包含一層氧化鋁(Al2O3)與碳化硼(B4C)。在一實施方式中,包含一層包含氧化鋁與碳化硼之保護層104的厚度為約1nm至約50nm。在一些實施方式中,保護層104包含上述之不同材料的組合。在一些實施方式中,保護層104之厚度為約1nm至約30nm。保護層104在蝕刻與極紫外光輻射期間作為蝕刻終止層,並保護低熱膨脹係數材料。反射多層(ML)106沉積在保護層104上。多層106包含複數個薄膜對,例如鉬-矽(Mo/Si)薄膜對(例如,在每一薄膜對中,一層鉬105位於一層矽107之上或之下)。替代地,多層106可包含鉬-鈹(Mo/Be)薄膜對,或其他適合之高度反射極紫外光的材料。此外,覆蓋層108,例如釕、氮化釕(RuN)、氧化釕(RuO)、 富含鈮(Nb)之釕鈮合金(RuNb),沉積在多層106上以提供保護。此外,包含第一層109與位於第一層109上之第二層111的吸收層110沉積在覆蓋層108上。在一些實施方式中,第一層109包含氧化鉭硼,第二層111包含氮化鉭硼(TaBN)。在其他實施方式中,第一層109包含氧化鉭硼且第二層111包含氮化鉻(CrN),或者第一層109包含氧化鉭硼且第二層111包含氧化鉻(CrO)。在一實施方式中,吸收層110包含一層氮化鉭硼沉積在覆蓋層108上、以及一層氧化鉭硼沉積在此層氮化鉭硼上。如圖1所繪示,低熱膨脹係數材料基板102沉積在盲層(blind layer)112上方。在一實施方式中,盲層為氮化鉻(CrN)層。在其他實施方式中,盲層包含硼化鉭(TaB)、氮化鉭硼、或氧化鉻(CrO)。
圖2A至圖2G係繪示依照本揭露之實施方式之製造光罩100之處理步驟的順序。可了解的是,可在圖2A至圖2G所示之製程之前、期間、或之後提供額外操作,且對此方法之額外實施方式,可取代或省略以下所描述之操作中的一些操作。操作/製程的順序可為可交換的,且操作/製程中的至少一些可以不同順序進行。至少二或多個操作/製程在時間上可重疊或者幾乎同時進行。
在圖2A中,將光阻層202沉積於吸收層110上,且利用所需圖案化技術予以圖案化,所需圖案化技術包含例如電子束微影、光微影、或任何其他適合製程。如圖2B所示,進行蝕刻操作,以移除圖案化之光阻層202所暴露出之吸收層110的部分,吸收層110例如包含雙層之氧化鉭 硼與氮化鉭硼,藉以在吸收層110中形成第一開口204,這些第一開口204暴露出部分之覆蓋層108。利用適合之剝除或電漿灰化操作移除剩餘之光阻層202,如圖2C所示。在其他實施方式中,使用適合的溶劑來移除光阻層202。在一些其他實施方式中,利用氧電漿灰化操作來移除光阻層202。如圖2D所示,沉積另一光阻層206於吸收層110上並填充第一開口204。圖案化光阻層206,以暴露出於先前蝕刻操作後留下之吸收層110的數個部分,如圖2E所示。
在一些實施方式中,第一光阻層與第二光阻層的微影操作包含塗布光敏阻劑薄膜於基板上方,利用光學微影機台或電子束曝寫機曝光沉積於基板上方之阻劑薄膜,以及顯影經曝光之阻劑薄膜,以形成供離子修整(ion trim)製程或蝕刻製程之阻劑圖案。在本揭露之實施方式中,阻劑亦稱為光阻(photoresist)。阻劑可包含正型阻劑或負型阻劑。阻劑薄膜可包含單層阻劑薄膜或多層阻劑薄膜。
在一些實施方式中,塗布阻劑薄膜於基板上方包含於將阻劑薄膜塗到基板上方前進行脫水操作。在一些實施方式中,脫水操作提升阻劑薄膜對基板之黏著力。脫水操作可包含在高溫下烘烤基板一段時間,或對基板施加化學製品,例如雙(三甲基矽基)胺(hexamethyldisilizane,HMDS)。其他實施方式亦包含軟烤(SB)製程,以將溶劑驅離阻劑薄膜並增進阻劑薄膜之機械強度。在一些實施方式中,將抗反射塗層,例如底部抗反射塗層(BARC)或頂部抗反射塗層(TARC),塗在阻劑層之下或之上。
曝光沉積在基板上方之阻劑薄膜包含利用光學曝光機台,例如氟化氬(ArF)或氟化氪(KrF)雷射,或荷電粒子(charged particle)曝光機台,例如電子束(e-beam)。在一些實施方式中,光學曝光機台包含使用光罩。光罩可為二元光罩(binary mask,BIM)、超二元光罩(SBIM)、或相移光罩(PSM),相移光罩包含交替式相移光罩(alternative phase shift mask,alt.PSM)或減光型相移光罩(attenuated phase shift mask,att.PSM)。在一些實施方式中,顯影經曝光之阻劑薄膜包含曝光後烘烤(PEB)、顯影後烘烤(PDB)製程、或其組合。
如圖2F所示,進行另一蝕刻操作,以移除吸收層110為光阻層206所暴露出的部分。此外,此蝕刻操作亦移除未被光阻層206所覆蓋之覆蓋層108與複數個反射薄膜多層106的部分。
在一些實施方式中,利用濕蝕刻劑,例如但不限於氫氧化銨(NH4OH)、氫氧化四甲銨(TMAH)、乙二胺鄰苯二酚(EDP)、或氫氧化鉀(KOH)溶液,來進行蝕刻操作。在其他實施方式中,利用濕蝕刻劑,例如但不限於氫氟酸:硝酸(HF:HNO3)溶液、氫氟酸:醋酸:硝酸(HF:CH3COOH:HNO3)、或硫酸(H2SO4)溶液與氫氟酸:過氧化氫:醋酸(HF:H2O2:CH3COOH),來進行蝕刻操作。在一些實施方式中,利用乾蝕刻操作。乾蝕刻操作可使用含氧氣體、含氟氣體[例如,四氟化碳(CF4)、六氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、及/或六氟乙烷 (C2F6)]、含氯氣體[例如,氯氣(Cl2)、三氯甲烷(CHCl3)、四氯甲烷(CHCl4)、及/或三氯化硼(BCl3)]、含溴氣體[例如,溴化氫(HBr)及/或三溴甲烷(CHBr3)]、含碘氣體、其他適合氣體及/或電漿、及/或其組合。在一些實施方式中,使用乾蝕刻技術與濕蝕刻技術的組合來進行蝕刻操作。
因此,形成第二開口208,且透過第二開口208而暴露出部分之保護層104。如圖2G所示,隨後利用適合之剝除或電漿灰化操作移除光阻層206。在其他實施方式中,利用適合的溶劑來移除光阻層206。在一些其他實施方式中,利用氧電漿灰化操作移除光阻層206。
光阻之製造包含許多薄膜沉積操作。在本實施方式中,薄膜沉積操作包含物理氣相沉積(PVD)製程,例如蒸鍍(evaporation)與直流磁控濺鍍(DC magnetron sputtering);電鍍(plating)製程,例如無電極(electrode-less)電鍍或電鍍(electroplating);化學氣相沉積(CVD)製程,例如常壓化學氣相沉積(APCVD)、低壓化學氣相沉積(LPCVD)、電漿增強化學氣相沉積(PECVD)、或高密度電漿化學氣相沉積(HDP CVD);離子束沉積;旋轉塗布(spin-on coating);有機金屬裂解(MOD);原子層沉積(ALD)製程;及/或其他適合方法。
圖3係繪示依照本揭露之實施方式之因黑邊界(BB)在包含釕之保護層104存在低熱膨脹係數材料基板102上之情況下的反射率變化的曲線圖300。如圖所示,當包含釕之保護層的厚度為約6.5nm至約8.5nm時、以及當包 含釕之保護層的厚度為約14.5nm至約16.5nm時,反射率小於約0.2%。因此,包含釕之保護層的厚度可如要求而改變,以達到低反射率。
圖4係繪示依照所揭露之實施方式之因黑邊界(BB)在包含鉻之保護層104存在低熱膨脹係數材料基板102上之情況下的反射率變化的曲線圖400。如圖所示,當包含鉻之保護層的厚度為約5.5nm至約9nm時、以及當包含鉻之保護層的厚度為約14nm至約16nm時,反射率小於約0.2%。因此,包含鉻之保護層的厚度可如要求而改變,以達到低反射率。由圖3與圖4,可了解保護層104之縮減反射率最小化從影像邊界的極紫外光反射。此外,保護層104最小化低熱膨脹係數材料在處理流程期間的損傷。
圖5A至圖5G係繪示依照所揭露之實施方式之一種製造光罩200之處理步驟的順序。可了解的是,可在圖5A至圖5G所示之製程之前、期間、或之後提供額外操作,且對此方法之額外實施方式,可取代或省略以下所描述之操作中的一些操作。操作/製程的順序可為可交換的,且操作/製程中的至少一些可以不同順序進行。至少二或多個操作/製程在時間上可重疊或者幾乎同時進行。光罩200可實質類似於圖1與圖2A至圖2G中之光罩100,因而參考此可了解製程,其中相同數字表示相同構件而不再詳細描述。
如圖5A至圖5G所示,保護層104不存在光罩200中。在圖5A中,將光阻層202沉積於吸收層110上,且利用所需圖案化技術予以圖案化,所需圖案化技術包含例如 電子束微影、光微影等等。如圖5B所示,進行蝕刻操作,以移除圖案化之光阻層202所暴露出之包含雙層之氧化鉭硼與氮化鉭硼之吸收層110的部分,藉以在吸收層110中形成第一開口204,這些第一開口204暴露出部分之覆蓋層108。利用適合之剝除或電漿灰化操作移除剩餘之光阻層202,如圖5C所示。如圖5D所示,沉積另一光阻層206於吸收層110上並填充第一開口204。圖案化光阻層206,以暴露出於先前蝕刻操作後留下之吸收層110的數個部分,如圖5E所示。
在一些實施方式中,第一光阻層與第二光阻層的微影操作包含塗布光敏阻劑薄膜於基板上方,利用光學微影機台或電子束曝寫機曝光沉積於基板上方之阻劑薄膜,以及顯影經曝光之阻劑薄膜,以形成阻劑圖案。阻劑薄膜可包含單層阻劑薄膜或多層阻劑薄膜。在一些實施方式中,將抗反射塗層,例如底部抗反射塗層或頂部抗反射塗層,塗在阻劑層之下或之上。
曝光沉積在基板上方之阻劑薄膜包含利用光學曝光機台,例如氟化氬或氟化氪雷射,或荷電粒子曝光機台,例如電子束。在一些實施方式中,光學曝光機台包含使用光罩,光罩為二元光罩或相移光罩。在一些實施方式中,顯影經曝光之阻劑薄膜包含曝光後烘烤(PEB)、顯影後烘烤(PDB)製程、或其組合。
如圖5F所示,進行蝕刻操作,以移除吸收層110未被光阻層206所覆蓋的部分。此外,此蝕刻操作亦移除未 被第二光阻層所覆蓋之覆蓋層108與複數個反射薄膜多層106的部分。然而,複數個反射薄膜多層106之最底層的一些(例如,一或二)留下並因而暴露在因蝕刻操作所形成的開口208中。反射薄膜多層106改善光罩之極紫外光反射率。複數個反射薄膜多層106之最底層在厚度上為約7nm至約14nm。請參照圖5A至圖5G與圖2A至圖2G,保護層104不存在光罩200中,而光罩100包含保護層104。保護層104在極紫外光輻射期間降低一氧化矽(SiO)粒子的生成。在光罩200中缺少保護層104的情況下,複數個反射薄膜多層106所留下的層亦降低一氧化矽粒子的生成。
在一些實施方式中,利用濕蝕刻劑,例如但不限於氫氧化銨、氫氧化四甲銨、乙二胺鄰苯二酚、或氫氧化鉀溶液,來進行蝕刻操作。在其他實施方式中,利用濕蝕刻劑,例如但不限於氫氟酸:硝酸(HF:HNO3)溶液、氫氟酸:醋酸:硝酸(HF:CH3COOH:HNO3)、或硫酸(H2SO4)溶液與氫氟酸:過氧化氫:醋酸(HF:H2O2:CH3COOH),來進行蝕刻操作。在一些實施方式中,利用乾蝕刻操作。乾蝕刻操作可使用含氧氣體、含氟氣體[例如,四氟化碳、六氟化硫、二氟甲烷、三氟甲烷、及/或六氟乙烷]、含氯氣體[例如,氯氣、三氯甲烷、四氯甲烷、及/或三氯化硼]、含溴氣體[例如,溴化氫及/或三溴甲烷]、含碘氣體、其他適合氣體及/或電漿、及/或其組合。在一些實施方式中,使用乾蝕刻技術與濕蝕刻技術的組合來進行蝕刻操作。如圖5G所示,隨後利用適合之剝除或電漿灰化操作移除光阻層206。
圖6係繪示依照所揭露之實施方式之因黑邊界與複數個反射薄膜多層106之最底層的存在光罩200中之情況下的反射率變化的曲線圖600。如圖所示,在反射薄膜多層106之1或2對存在下,反射率分別從小於約0.05%增加至約0.4%與1.8%。當反射薄膜多層106之約30或更多對留在黑邊界中時,反射率大於約70%。複數個反射薄膜多層106所留下之層維持相對高反射率(相較於缺少反射薄膜之反射率),而使得光學近接控制(OPC)計算的使用可控制主圖案之邊緣中的關鍵尺寸,以避免源於從黑邊界來之反射率的關鍵尺寸誤差。
圖7A至圖7H係繪示依照所揭露之實施方式之一種製造光罩300之處理步驟的順序。可了解的是,可在圖7A至圖7H所示之製程之前、期間、或之後提供額外操作,且對此方法之額外實施方式,可取代或省略以下所描述之操作中的一些操作。操作/製程的順序可為可交換的,且操作/製程中的至少一些可以不同順序進行。至少二或多個操作/製程在時間上可重疊或者幾乎同時進行。光罩300可實質類似於圖1、圖2A至圖2G、與圖5A至圖5G中之光罩100與200,因而參考此可了解製程,其中相同數字表示相同構件而不再詳細描述。
如圖7A至圖7H所示,保護層104不存在光罩300中,類似於光罩200(請參見圖5A)。在圖7A中,將光阻層202沉積於吸收層110上,且利用所需圖案化技術予以圖案化,所需圖案化技術包含例如電子束微影、光微影等等。 如圖7B所示,進行蝕刻操作,以移除圖案化之光阻層202所暴露出之包含雙層之氧化鉭硼與氮化鉭硼之吸收層110的部分,藉以在吸收層110中形成第一開口204,這些第一開口204暴露出部分之覆蓋層108。在一些實施方式中,利用濕蝕刻劑,例如但不限於氫氧化銨、氫氧化四甲銨、乙二胺鄰苯二酚、或氫氧化鉀溶液,來進行蝕刻操作。在其他實施方式中,利用濕蝕刻劑,例如但不限於氫氟酸:硝酸(HF:HNO3)溶液、氫氟酸:醋酸:硝酸(HF:CH3COOH:HNO3)、或硫酸(H2SO4)溶液與氫氟酸:過氧化氫:醋酸(HF:H2O2:CH3COOH),來進行蝕刻操作。在一些實施方式中,利用乾蝕刻操作。乾蝕刻操作可使用含氧氣體、含氟氣體[例如,四氟化碳、六氟化硫、二氟甲烷、三氟甲烷、及/或六氟乙烷]、含氯氣體[例如,氯氣、三氯甲烷、四氯甲烷、及/或三氯化硼]、含溴氣體[例如,溴化氫及/或三溴甲烷]、含碘氣體、其他適合氣體及/或電漿、及/或其組合。在一些實施方式中,使用乾蝕刻技術與濕蝕刻技術的組合來進行蝕刻操作。
利用適合之剝除或電漿灰化操作移除剩餘之光阻層202,如圖7C所示。如圖7D所示,隨後沉積另一光阻層206於吸收層110上並填充第一開口204。圖案化光阻層206,以暴露出於先前蝕刻操作後留下之吸收層110的數個部分,如圖7E所示。
在一些實施方式中,第一光阻層與第二光阻層的微影操作包含塗布光敏阻劑薄膜於基板上方,利用光學微 影機台或電子束曝寫機曝光沉積於基板上方之阻劑薄膜,以及顯影經曝光之阻劑薄膜,以形成阻劑圖案。阻劑薄膜可包含單層阻劑薄膜或多層阻劑薄膜。在一些實施方式中,將抗反射塗層,例如底部抗反射塗層或頂部抗反射塗層,塗在阻劑層之下或之上。
曝光沉積在基板上方之阻劑薄膜包含利用光學曝光機台,例如氟化氬或氟化氪雷射,或荷電粒子曝光機台,例如電子束。在一些實施方式中,光學曝光機台包含使用光罩,光罩為二元光罩或相移光罩。在一些實施方式中,顯影經曝光之阻劑薄膜包含曝光後烘烤、顯影後烘烤製程、或其組合。
如圖7F所示,進行蝕刻操作,以移除吸收層110未被光阻層206所覆蓋的部分。此外,此蝕刻操作亦移除未被光阻層206所覆蓋之覆蓋層108與複數個反射薄膜多層106的部分。因此,形成第二開口208,且透過第二開口208而暴露出部分之低熱膨脹係數材料基板102。
如圖7G所示,沉積保護層302於開口208中之暴露出的低熱膨脹係數材料基板102上,以及由吸收層110、覆蓋層108、與複數個反射薄膜多層106所形成之開口208的側壁上。可利用化學氣相沉積技術來沉積保護層302,化學氣相沉積技術包含例如常壓化學氣相沉積、低壓化學氣相沉積、雷射增強化學氣相沉積(LECVD)、以及電漿增強化學氣相沉積中之一或多個。額外或替代地,可利用物理氣相沉積技術來沉積保護層302,物理氣相沉積技術包 含例如電熱式(electrically heated)蒸鍍源(熱蒸鍍)、脈衝雷射沉積(pulsed laser deposition)、電子束蒸鍍、分子束磊晶、離子束輔助蒸鍍、以及放電式(discharge based)沉積方法(濺鍍、電弧蒸鍍)中之一或多個。保護層302於極紫外光光罩製作後形成;然而,保護層104係在極紫外光基底製備期間沉積。
保護層302亦形成在光阻206之上表面以及光阻206所形成之開口208的側壁上,而可以適當蝕刻操作移除。在多個例子中,保護層302包含一層釕、氮化釕(RuN)、釕硼化物(RuB)、氧化鉭硼、氮化矽(Si3N4)、氮氧化鉻(CrON)、氮化鉻(CrN)、氧化鋁、氮化鉭(TaN)、氮氧化鉭(TaON)、碳化硼(B4C)、碳化矽(SiC)、氮化鈦(TiN)、二氧化鈦、一氮化矽(SiN)、及其組合。在一實施方式中,保護層302之厚度為約1nm至約50nm。
如圖7H所示,隨後利用適合之剝除或電漿灰化操作移除光阻層206。
本揭露之一實施方式為依照圖8所示之流程圖製造光罩的方法800。可了解的是,可在圖8所討論之製程之前、期間、或之後提供額外操作,且對此方法之額外實施方式,可取代或省略以下所描述之操作中的一些操作。操作/製程的順序可為可交換的,且操作/製程中的至少一些可以不同順序進行。至少二或更多操作/製程在時間上可重疊或者幾乎同時進行。
此方法包含形成保護層於基板上方之操作S810。在一些實施方式中,基板由低熱膨脹係數材料(LTEM)製成。保護層保護基板免受極紫外光(EUV)輻射。舉例而言,如圖2A所示,形成保護層104於基板102上方。在操作S820中,形成複數個反射薄膜多層,例如複數個交替之鉬與矽薄膜,於保護層上方。舉例而言,如圖2A所示,包含鉬105與矽107之反射薄膜多層106形成於保護層104上方。在操作S830中,隨後形成覆蓋層,例如釕層,於複數個反射薄膜多層上方。舉例而言,如圖2A所示,覆蓋層108形成於包含鉬105與矽107之反射薄膜多層106上方。在操作S840中,形成吸收層於覆蓋層上方。在一些實施方式中,吸收層包含氧化鉭硼與氮化鉭硼雙層。舉例而言,如圖2A所示,吸收層110形成於覆蓋層108上方。接著,在操作S850中,形成第一光阻層於部分之吸收層上方。光阻層由適合之光敏材料成分所製成,且可為負型光阻或正型光阻。在操作860中,圖案化部分之第一光阻層與吸收層。在一些實施方式中,藉由選擇性曝光於光化輻射(actinic radiation)且隨後使用適合顯影劑顯影的方式,來圖案化第一光阻層,光化輻射例如為包含極紫外線輻射與紫外線輻射之電磁輻射、以及包含電子束與離子束之粒子束。接著,藉由使用經圖案化之第一光阻層作為罩幕的適合蝕刻操作,來圖案化吸收層,以形成第一開口於吸收層中。舉例而言,如圖2B與圖2C所示,形成光阻層202於吸收層110上方,且圖案化部分之光阻層202與吸收層110,而形成開口204。第 一開口暴露出部分之覆蓋層。在操作S870中,利用適合之光阻剝除或電漿灰化操作移除第一光阻層之剩餘部分。在操作S880中,形成第二光阻層於部分之吸收層上方。第二光阻層至少覆蓋第一開口。如圖2E所示,移除光阻層202,且沉積光阻層206於吸收層220與開口204上方。在一些實施方式中,藉由選擇性曝光於光化輻射且隨後使用適合顯影劑顯影的方式,來圖案化第二光阻層,光化輻射例如為包含極紫外線輻射與紫外線輻射之電磁輻射、以及包含電子束與離子束之粒子束。接著,在操作S890中,藉由使用經圖案化之第二光阻層作為罩幕的適合蝕刻操作,來圖案化未被第二光阻層覆蓋之吸收層、覆蓋層、與複數個反射薄膜多層的部分。此圖案化操作形成第二開口。第二開口暴露出部分之保護層。接著,在操作S8100中,於一些實施方式中,利用適合之光阻剝除或電漿灰化移除第二光阻層。如圖2F所示,圖案化未被光阻層206覆蓋之吸收層110、覆蓋層108、與複數個反射薄膜多層106。形成開口208暴露出保護層104。
本揭露之另一實施方式為依照圖9所示之流程圖製造半導體元件的方法900。可了解的是,可在圖9所討論之製程之前、期間、或之後提供額外操作,且對此方法之額外實施方式,可取代或省略以下所描述之操作中的一些操作。操作/製程的順序可為可交換的,且操作/製程中的至少一些可以不同順序進行。至少二或更多操作/製程在時間上可重疊或者幾乎同時進行。此方法包含形成複數個反射薄膜多層於基板上方之操作S910。在一些實施方式中,基板由 低熱膨脹係數材料(LTEM)所製成,且反射薄膜多層包含交替之鉬與矽層。在操作S920中,形成覆蓋層,例如釕層,於複數個反射薄膜多層上方。在操作S930中,形成吸收層於覆蓋層上方。在一些實施方式中,吸收層包含氧化鉭硼與氮化鉭硼雙層。接著,在操作S940中,形成第一光阻層於吸收層上方。在操作S950中,圖案化部分之第一光阻層與吸收層。在一些實施方式中,藉由選擇性曝光於光化輻射且隨後使用適合顯影劑顯影的方式,來圖案化第一光阻層,光化輻射例如為包含極紫外線輻射與紫外線輻射之電磁輻射、以及包含電子束與離子束之粒子束。接著,藉由使用經圖案化之第一光阻層作為罩幕的適合蝕刻操作,來圖案化吸收層,以形成第一開口於吸收層中。此圖案化形成第一開口於吸收層中。第一開口暴露出部分之覆蓋層。在操作S960中,利用適合之光阻剝除或電漿灰化操作移除第一光阻層之剩餘部分。在操作S970中,隨後形成第二光阻層於部分之吸收層上方。第二光阻層至少覆蓋第一開口。在一些實施方式中,藉由選擇性曝光於光化輻射且隨後使用適合顯影劑顯影的方式,來圖案化第二光阻層,光化輻射例如為包含極紫外線輻射與紫外線輻射之電磁輻射、以及包含電子束與離子束之粒子束。在操作S980中,藉由使用經圖案化之第二光阻層作為罩幕的適合蝕刻操作,來圖案化未被第二光阻層覆蓋之吸收層、覆蓋層、與複數個反射薄膜多層的部分。此圖案化形成第二開口於吸收層中。第二開口暴露出部分之基板。接著,在操作S990中,形成保護層於第二開口中。保 護層覆蓋基板之暴露部分,且保護層保護基板免受極紫外光輻射。接著,在操作S9100中,於一些實施方式中,利用適合之光阻剝除或電漿灰化操作移除第二光阻層。
如以上所討論,在極紫外光基板印刷期間,照射暴露出之低熱膨脹係數材料基板102的製程可傳入微粒,且這些微粒可能遷移至包含光罩圖案之圖案區而造成影像誤差。微粒亦可能在包含氫電漿之操作期間傳入。本揭露之一些實施方式透過使用保護層104或反射薄膜多層106覆蓋低熱膨脹係數材料基板102,來限制微粒在照射暴露出之低熱膨脹係數材料基板之製程期間傳入。
本揭露之一實施方式係一種光罩之製造方法,包含形成保護層於基板上方,其中保護層保護基板免受極紫外光輻射且作為蝕刻終止層。形成複數個反射薄膜多層於保護層上方。形成覆蓋層於複數個反射薄膜多層上方。形成吸收層於覆蓋層上方。形成第一光阻層於部分之吸收層上方。圖案化第一光阻層與吸收層,藉以形成第一開口於吸收層中。第一開口暴露出部分之覆蓋層。移除第一光阻層之剩餘部分,並形成第二光阻層於部分之吸收層上方。第二光阻層至少覆蓋第一開口。圖案化未被第二光阻層覆蓋之吸收層、覆蓋層、與複數個反射薄膜多層的部分,藉以形成第二開口。第二開口暴露出部分之保護層。移除第二光阻層。在一實施方式中,此方法包含形成基板於盲層上方,其中此盲層為氮化鉻(CrN)層。在一實施方式中,保護層包含一層氮化釕(RuN)、釕硼化物(RuB)、氧化鉭硼(TaBO)、氮化矽 (Si3N4)、氮氧化鉻(CrON)、氮化鉻(CrN)、氧化鋁(Al2O3)、氮氧化鉭(TaON)、碳化硼(B4C)、碳化矽(SiC)、氮化鈦(TiN)、二氧化鈦(TiO2)、或一氮化矽(SiN)。在一實施方式中,此層氮化釕、釕硼化物、氧化鉭硼、氮化矽、氮氧化鉻、氮化鉻、氧化鋁、氮氧化鉭、碳化硼、碳化矽、氮化鈦、二氧化鈦、或一氮化矽具有1nm至30nm之厚度。在一實施方式中,複數個反射薄膜多層包含鉬與矽之交替堆疊層。在一實施方式中,覆蓋層包含釕、氮化釕(RuN)、氧化釕(RuO)、與釕鈮合金(RuNb)之一或多個。在一實施方式中,吸收層包含氧化鉭硼(TaBO)與氮化鉭硼(TaBN)雙層、氧化鉭硼與氮化鉻(CrN)雙層、或氧化鉭硼與氧化鉻(CrO)雙層。在一實施方式中,基板包含低熱膨脹係數材料(LTEM)。
本揭露之另一實施方式係一種光罩之製造方法,包含形成複數個反射薄膜多層於基板上方。形成覆蓋層於此複數個反射薄膜多層上方。形成吸收層於覆蓋層上方。形成第一光阻層於吸收層上方。圖案化部分之第一光阻層與吸收層,藉以形成第一開口於吸收層中。第一開口暴露出部分之覆蓋層。移除第一光阻層之剩餘部分。形成第二光阻層於部分之吸收層上方,其中第二光阻層至少覆蓋第一開口。圖案化未被第二光阻層覆蓋之吸收層、覆蓋層、與複數個反射薄膜多層的部分,藉以形成第二開口於吸收層中。第二開口暴露出部分之基板。形成保護層於第二開口中,其中保護層覆蓋基板之暴露部分,其中保護層保護基板免於極紫外光 輻射。移除第二光阻層。在一實施方式中,此方法包含形成基板於盲層上方,其中此盲層為氮化鉻(CrN)層。在一實施方式中,保護層包含一層氮化釕(RuN)、釕硼化物(RuB)、氧化鉭硼(TaBO)、氮化矽(Si3N4)、氮氧化鉻(CrON)、氮化鉻(CrN)、氧化鋁(Al2O3)、氮氧化鉭(TaON)、碳化硼(B4C)、碳化矽(SiC)、氮化鈦(TiN)、二氧化鈦(TiO2)、或一氮化矽(SiN)。在一實施方式中,此層氮化釕、釕硼化物、氧化鉭硼、氮化矽、氮氧化鉻、氮化鉻、氧化鋁、氮氧化鉭、碳化硼、碳化矽、氮化鈦、二氧化鈦、或一氮化矽具有1nm至30nm之厚度。在一實施方式中,此複數個反射薄膜多層包含鉬與矽之交替堆疊層。在一實施方式中,覆蓋層包含釕、氮化釕(RuN)、氧化釕(RuO)、與釕鈮合金(RuNb)之一或多個。在一實施方式中,吸收層包含氧化鉭硼(TaBO)與氮化鉭硼(TaBN)雙層、氧化鉭硼與氮化鉻(CrN)雙層、或氧化鉭硼與氧化鉻(CrO)雙層。在一實施方式中,基板包含低熱膨脹係數材料(LTEM)。在一實施方式中,保護層覆蓋由吸收層、覆蓋層、與複數個反射薄膜多層所形成之第二開口的側壁。
本揭露之一實施方式係一種光罩,包含複數個反射薄膜多層設於基板上方。覆蓋層設於此複數個反射薄膜多層上方。吸收層設於覆蓋層上方。吸收層包含第一開口。第一開口暴露出部分之覆蓋層。保護層設於第二開口中,其中第二開口形成在吸收層、覆蓋層、與複數個反射薄膜多層中,以暴露出部分之基板。保護層保護基板免受極紫外光輻 射。在一實施方式中,盲層設於基板下方,其中此盲層為氮化鉻(CrN)層。在一實施方式中,保護層包含一層氮化釕(RuN)、釕硼化物(RuB)、氧化鉭硼(TaBO)、氮化矽(Si3N4)、氮氧化鉻(CrON)、氮化鉻(CrN)、氧化鋁(Al2O3)、氮氧化鉭(TaON)、碳化硼(B4C)、碳化矽(SiC)、氮化鈦(TiN)、二氧化鈦(TiO2)、或一氮化矽(SiN)。在一實施方式中,此層氮化釕、釕硼化物、氧化鉭硼、氮化矽、氮氧化鉻、氮化鉻、氧化鋁、氮氧化鉭、碳化硼、碳化矽、氮化鈦、二氧化鈦、或一氮化矽具有1nm至30nm之厚度。在一實施方式中,複數個反射薄膜多層包含鉬與矽之交替堆疊層,且覆蓋層包含釕、氮化釕(RuN)、氧化釕(RuO)、與釕鈮合金(RuNb)之一或多個,吸收層包含氧化鉭硼(TaBO)與氮化鉭硼(TaBN)雙層、氧化鉭硼與氮化鉻(CrN)雙層、或氧化鉭硼與氧化鉻(CrO)雙層,基板包含低熱膨脹係數材料(LTEM)。保護層覆蓋由吸收層、覆蓋層、與複數個反射薄膜多層所形成之第二開口的側壁。
本揭露之另一實施方式係一種光罩之製造方法,包含形成複數個反射薄膜多層於基板上方。形成覆蓋層於此複數個反射薄膜多層上方。形成吸收層於覆蓋層上方。形成第一光阻層於部分之吸收層上方。圖案化部分之第一光阻層與吸收層,藉以形成第一開口於吸收層中。第一開口暴露出部分之覆蓋層。移除第一光阻層之剩餘部分。形成第二光阻層於部分之吸收層上方,其中第二光阻層至少覆蓋第至少一一開口。圖案化未被第二光阻層覆蓋之吸收層、覆蓋 層、與複數個反射薄膜多層的部分,藉以形成第二開口於吸收層與覆蓋層中。第二開口暴露出部分之基板。第二開口暴露出此複數個反射薄膜多層之一個或二個最底層之數個部分。移除第二光阻層。在一實施方式中,此方法包含形成基板於盲層上方,其中此盲層為氮化鉻(CrN)層。在一實施方式中,此複數個反射薄膜多層包含鉬與矽之交替堆疊層。在一實施方式中,覆蓋層包含釕、氮化釕(RuN)、氧化釕(RuO)、與釕鈮合金(RuNb)之一或多個,且吸收層包含氧化鉭硼(TaBO)與氮化鉭硼(TaBN)雙層、氧化鉭硼與氮化鉻(CrN)雙層、或氧化鉭硼與氧化鉻(CrO)雙層。在一實施方式中,基板包含低熱膨脹係數材料(LTEM)。
本揭露之又一實施方式係一種光罩之製造方法,包含形成複數個反射薄膜多層於基板上方。形成覆蓋層於此複數個反射薄膜多層上方。形成吸收層於覆蓋層上方。形成第一光阻層於吸收層上方。圖案化部分之第一光阻層與吸收層,藉以形成第一開口於吸收層中。第一開口暴露出部分之覆蓋層。移除第一光阻層之剩餘部分。形成第二光阻層於部分之吸收層上方,其中第二光阻層至少覆蓋第一開口。圖案化未被第二光阻層覆蓋之吸收層、覆蓋層、與複數個反射薄膜多層的部分,藉以形成第二開口於吸收層中。第二開口暴露出部分之基板。形成保護層於第二開口中,其中保護層覆蓋基板之暴露部分以及由吸收層、覆蓋層、與複數個反射薄膜多層所形成之第二開口的側壁。保護層保護基板免於極紫外光輻射。移除第二光阻層。在一實施方式中,此方法 包含形成基板於盲層上方,其中此盲層為氮化鉻(CrN)層。在一實施方式中,保護層包含具有1nm至30nm之厚度之一層氮化釕(RuN)、釕硼化物(RuB)、氧化鉭硼(TaBO)、氮化矽(Si3N4)、氮氧化鉻(CrON)、氮化鉻(CrN)、氧化鋁(Al2O3)、氮氧化鉭(TaON)、碳化硼(B4C)、碳化矽(SiC)、氮化鈦(TiN)、二氧化鈦(TiO2)、或一氮化矽(SiN)。在一實施方式中,此複數個反射薄膜多層包含鉬與矽之交替堆疊層。在一實施方式中,覆蓋層包含釕、氮化釕(RuN)、氧化釕(RuO)、與釕鈮合金(RuNb)之一或多個。在一實施方式中,吸收層包含氧化鉭硼(TaBO)與氮化鉭硼(TaBN)雙層、氧化鉭硼與氮化鉻(CrN)雙層、或氧化鉭硼與氧化鉻(CrO)雙層。在一實施方式中,基板包含低熱膨脹係數材料(LTEM)。
本揭露之另一實施方式係一種光罩,包含複數個反射薄膜多層設於基板上方。覆蓋層設於此複數個反射薄膜多層上方。吸收層設於覆蓋層上方。光罩之第一部分包含第一開口位於吸收層中。第一開口暴露出部分之覆蓋層。光罩之第二部分包含第二開口位於吸收層與覆蓋層中。第二開口暴露出此複數個反射薄膜多層之一個或二個最底層之數個部分。在一實施方式中,此光罩包含盲層設於基板下方,其中此盲層為氮化鉻(CrN)層。在一實施方式中,此複數個反射薄膜多層包含鉬與矽之交替堆疊層。在一實施方式中,覆蓋層包含釕、氮化釕(RuN)、氧化釕(RuO)、與釕鈮合金(RuNb)之一或多個。在一實施方式中,吸收層包含氧化鉭 硼(TaBO)與氮化鉭硼(TaBN)雙層、氧化鉭硼與氮化鉻(CrN)雙層、或氧化鉭硼與氧化鉻(CrO)雙層。在一實施方式中,基板包含低熱膨脹係數材料(LTEM)。
上述概述了數個實施方式或例子的特徵,因此熟習此技藝者可更了解本描述之態樣。熟悉此技藝者應了解到,其可輕易地利用本揭露之實施方式做為基礎,來設計或潤飾其他製程與結構,以實現與在此所介紹之實施方式或例子相同之目的及/或達到相同的優點。熟悉此技藝者也應了解到,這類對等架構並未脫離本揭露之實施方式的精神和範圍,且熟悉此技藝者可在不脫離本揭露之實施方式的精神和範圍下,在此進行各種之更動、取代與修改。
100‧‧‧光罩
102‧‧‧基板
104‧‧‧保護層
105‧‧‧鉬
106‧‧‧多層
107‧‧‧矽
108‧‧‧覆蓋層
109‧‧‧第一層
110‧‧‧吸收層
111‧‧‧第二層
112‧‧‧盲層
204‧‧‧開口
208‧‧‧開口

Claims (1)

  1. 一種光罩之製造方法,包含:形成一保護層於一基板上方,其中該保護層保護該基板免受一極紫外光(EUV)輻射;形成複數個反射薄膜多層於該保護層上方;形成一覆蓋層於該複數個反射薄膜多層上方;形成一吸收層於該覆蓋層上方;形成一第一光阻層於部分之該吸收層上方;圖案化部分之該第一光阻層與該吸收層,藉以形成複數個第一開口於該吸收層中,其中該些第一開口暴露出部分之該覆蓋層;移除該第一光阻層之剩餘部分;形成一第二光阻層於部分之該吸收層上方,其中該第二光阻層至少覆蓋該些第一開口;圖案化未被該第二光阻層覆蓋之該吸收層、該覆蓋層、與該複數個反射薄膜多層的部分,藉以形成複數個第二開口,其中該些第二開口暴露出部分之該保護層;以及移除該第二光阻層。
TW108129153A 2018-08-17 2019-08-15 光罩之製造方法 TW202020552A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862719414P 2018-08-17 2018-08-17
US62/719,414 2018-08-17
US16/534,968 US20200057363A1 (en) 2018-08-17 2019-08-07 Protection layer on low thermal expansion material (ltem) substrate of extreme ultraviolet (euv) mask
US16/534,968 2019-08-07

Publications (1)

Publication Number Publication Date
TW202020552A true TW202020552A (zh) 2020-06-01

Family

ID=69524042

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108129153A TW202020552A (zh) 2018-08-17 2019-08-15 光罩之製造方法

Country Status (3)

Country Link
US (2) US20200057363A1 (zh)
CN (1) CN110837203A (zh)
TW (1) TW202020552A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817279B (zh) * 2021-05-28 2023-10-01 台灣積體電路製造股份有限公司 極紫外光遮罩和其使用方法與形成方法
TWI820754B (zh) * 2021-07-09 2023-11-01 台灣積體電路製造股份有限公司 用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11480869B2 (en) * 2019-08-29 2022-10-25 Taiwan Semiconductor Manufacturing Company Ltd. Photomask with enhanced contamination control and method of forming the same
CN113267956A (zh) * 2020-05-29 2021-08-17 台湾积体电路制造股份有限公司 Euv光掩模及其制造方法
US11592737B2 (en) 2020-05-29 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11500282B2 (en) 2020-06-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
CN114153125B (zh) * 2020-09-08 2024-03-26 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法
KR20220168108A (ko) * 2021-06-15 2022-12-22 에스케이하이닉스 주식회사 극자외선 리소그래피용 위상 시프트 마스크 및 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817279B (zh) * 2021-05-28 2023-10-01 台灣積體電路製造股份有限公司 極紫外光遮罩和其使用方法與形成方法
TWI820754B (zh) * 2021-07-09 2023-11-01 台灣積體電路製造股份有限公司 用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法

Also Published As

Publication number Publication date
US20200057363A1 (en) 2020-02-20
US20220365414A1 (en) 2022-11-17
CN110837203A (zh) 2020-02-25

Similar Documents

Publication Publication Date Title
TW202020552A (zh) 光罩之製造方法
US8679707B2 (en) Method of fabricating a lithography mask
JP6266842B2 (ja) マスクブランク、マスクブランクの製造方法、位相シフトマスク、位相シフトマスクの製造方法及び半導体デバイスの製造方法
US8765330B2 (en) Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US9733562B2 (en) Extreme ultraviolet lithography process and mask
TWI772645B (zh) 空白光罩、光罩之製造方法及光罩
TW201327669A (zh) 蝕刻用於形成光罩之euv反射多重材料層之方法
US11726399B2 (en) EUV photo masks and manufacturing method thereof
WO2020241116A1 (ja) フォトマスクブランク、フォトマスクの製造方法及びフォトマスク
US9995999B2 (en) Lithography mask
US20230251563A1 (en) Euv photo masks and manufacturing method thereof
JP7411840B2 (ja) フォトマスクブランク、フォトマスクの製造方法及びフォトマスク
TWI760057B (zh) 反射遮罩及其製造方法
JP7331793B2 (ja) フォトマスクの製造方法及びフォトマスクブランク
KR20240031182A (ko) 포토 마스크를 제조하는 방법
KR20220165209A (ko) 리소그래피 마스크 및 방법