TWI820754B - 用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法 - Google Patents

用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法 Download PDF

Info

Publication number
TWI820754B
TWI820754B TW111122468A TW111122468A TWI820754B TW I820754 B TWI820754 B TW I820754B TW 111122468 A TW111122468 A TW 111122468A TW 111122468 A TW111122468 A TW 111122468A TW I820754 B TWI820754 B TW I820754B
Authority
TW
Taiwan
Prior art keywords
layer
patterned
matrix metal
euv
mask
Prior art date
Application number
TW111122468A
Other languages
English (en)
Other versions
TW202303701A (zh
Inventor
林怡志
許倍誠
連大成
陳嘉仁
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202303701A publication Critical patent/TW202303701A/zh
Application granted granted Critical
Publication of TWI820754B publication Critical patent/TWI820754B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

提供一種用於微影圖案化的方法。方法包括接收具有光阻的晶圓,以及使用由極紫外線遮罩反射的極紫外線輻射暴露光阻。極紫外線遮罩包括基板、位於基板上的反射多層堆疊、位於反射多層堆疊上的覆蓋層以及位於覆蓋層上的圖案化吸收層。圖案化吸收層包括基質金屬與佔據基質金屬的間隙位置的間隙元素,且間隙元素與基質金屬的尺寸比為約0.41至約0.59。

Description

用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法
本揭露之一些實施方式是有關於一種用於微影圖案化光阻的方法、一種使用極紫外線遮罩的方法,以及一種極紫外線遮罩。
半導體工業經歷指數級增長。材料與設計方面的技術進步產生數代積體電路(integrated circuits;IC),其中每一代的電路都比上一代更小、更複雜。在IC演進過程中,功能密度(即每晶圓面積互連元件的數量)普遍增加,而幾何尺寸(即可以使用製造製程創建的最小組件或線)已減小。這種按比例縮小的製程通常通過提高生產效率與降低相關成本來提供好處。
根據一些實施方式,一種用於微影圖案化光阻的方法包括接收具有光阻的晶圓,以及使用由極紫外線遮罩反射的極紫外線輻射暴露光阻。極紫外線遮罩包括基板、位於基板上的反射多層堆疊、位於反射多層堆疊上的覆蓋層以及位於覆蓋層上的圖案化吸收層。圖案化吸收層包括基質金屬與佔據基質金屬的間隙位置的間隙元素,且間隙元素與基質金屬的尺寸比為約0.41至約0.59。
根據一些實施方式,一種使用極紫外線遮罩的方法包括暴露極紫外線遮罩至入射輻射。極紫外線遮罩包括基板、位於基板上的反射多層堆疊、位於反射多層堆疊上的覆蓋層以及位於覆蓋層上的圖案化吸收層。圖案化吸收層包括基質金屬與佔據基質金屬的間隙位置的間隙元素,且間隙元素與基質金屬的尺寸比為約0.41至約0.59。方法更包括在圖案化吸收層中吸收入射輻射的一部分、反射來自反射多層堆疊的入射輻射的一部分,以及將由反射多層堆疊反射的入射輻射的部分引導至晶圓上的光阻。
根據一些實施方式,一種極紫外線遮罩包括基板、位於基板上的反射多層堆疊、位於反射多層堆疊上的覆蓋層以及位於覆蓋層上的圖案化吸收層。圖案化吸收層包括基質金屬與佔據基質金屬的間隙位置的間隙元素,且間隙元素與基質金屬的尺寸比為約0.41至約0.59。
100:空白遮罩
102:基板
104:導電層
110:反射多層堆疊
120:覆蓋層
130:吸收層
130P:圖案化吸收層
132:開口
140:硬遮罩層
142:開口
140P:圖案化硬遮罩層
152:開口
154:溝槽
200:方法
202:步驟
204:步驟
206:步驟
208:步驟
400:EUV遮罩
400A:圖案區域
400B:周邊區域
500:方法
502:步驟
504:步驟
506:步驟
508:步驟
510:步驟
512:步驟
514:步驟
610:光阻層
610P:圖案化光阻層
612:開口
620P:圖案化光阻層
622:開口
700:微影系統
702:光源
704:照明器
706:遮罩台
710:投影光學模組
712:基板台
714:薄膜
716:半導體晶圓
800:方法
802:步驟
804:步驟
806:步驟
808:步驟
810:步驟
812:步驟
本揭露之一些實施方式的態樣在與隨附圖式一起研讀時自以下詳細描述內容來最佳地理解。應注意,根據行業中之標準慣例,各種特徵未按比例繪製。實際上,各種特徵的尺寸可為了論述清楚經任意地增大或減小。
第1圖為根據一些實施方式之極紫外線(extreme ultraviolet;EUV)空白遮罩的剖面圖。
第2圖為根據一些實施方式之用於製造第1圖的EUV空白遮罩的方法的流程圖。
第3A圖至第3D圖為根據一些實施方式之在第2圖的製造製程的各個階段的EUV空白遮罩的剖面圖。
第4圖為根據一些實施方式之EUV遮罩的剖面圖。
第5圖為根據一些實施方式之用於製造第4圖的EUV遮罩的方法的流程圖。
第6A圖至第6G圖為根據一些實施方式之在第5圖的製造製程的各個階段的EUV空白遮罩的剖面圖。
第7圖為根據本揭露之一些實施方式之微影系統的示意圖。
第8圖為根據一些實施方式之使用EUV遮罩的方法的流程圖。
以下揭露提供用於實施本揭露之一些實施方式或實例之不同特徵。下文描述組件及配置之特定實例以簡化 本揭露之一些實施方式。當然,此等組件及配置僅為實例且並非意欲為限制性的。例如,在以下描述中第一特徵於第二特徵上方或上的形成可包括第一及第二特徵直接接觸地形成的實施方式,且亦可包括附加特徵可形成在第一特徵與第二特徵之間使得第一特徵及第二特徵可不直接接觸的實施方式。此外,本揭露之一些實施方式在各種實例中可重複參考符號及/或字母。此重複係出於簡單及清楚之目的,且本身並不指明所論述之各種實施方式及/或組態之間的關係。
進一步地,為方便描述可在本揭露之一些實施方式中使用空間上相對之術語,諸如「在......之下」、「在......下方」、「下面的」、「在......上方」、「上面的」及其類似物來描述如在諸圖中所描述之一個元件或特徵與另外之(諸等)元件或(諸等)特徵的關係。該等空間上相對之術語意欲除諸圖中所描述之方位外,涵蓋處於使用或操作中之元件之不同方位。元件可另外定位(經90度旋轉或在其它方位)且據此解釋本揭露之一些實施方式所用之該等空間上相對之描述詞。除非另有明確說明,假定具有相同圖式符號的每個元件具有相同的材料成分並且具有在相同厚度範圍間的厚度。
在積體電路(integrated circuit;IC)的製造中,代表積體電路不同層的圖案是使用一系列可重複使用的光罩(在此也稱為微影遮罩或遮罩)來製造的,以便在半導體元件製造製程中,將積體電路的每一層的設計轉移到半 導體基板上。
隨著IC尺寸的縮小,在微影製程中採用波長為13.5奈米的極紫外線(extreme ultraviolet;EUV)光,以實現從遮罩到半導體晶圓的非常小的圖案(例如,奈米級圖案)的轉移。由於大多數材料在13.5奈米的波長具有高吸收性,因此EUV微影利用反射型EUV遮罩,反射型EUV遮罩具有反射多層以反射入射的EUV光,以及在反射多層的頂部上的吸收層以吸收在光不應被遮罩反射的區域中的EUV光。由吸收層定義的遮罩圖案因此被轉移到半導體晶圓上。目前,鉭(Ta)是用於EUV遮罩開發的最常見吸收材料的主要成分。
在EUV微影中,為了避免入射光與反射光的重疊,EUV遮罩用相對垂直於遮罩平面的軸傾斜6度角的傾斜入射光照射。傾斜入射的EUV光被反射多層反射或被吸收層吸收。在這種情況下,若吸收層較厚,則會在吸收線周圍形成陰影,從而使吸收體的形狀看起來更寬。遮罩陰影效果,也稱為遮罩3D效果,會導致不希望的與特徵尺寸相關的焦點與圖案放置偏移。隨著技術節點的進步,遮罩3D效果變得更差,因此必須盡可能減少吸收體的厚度,以最大限度地減少遮罩3D效果的影響。然而,目前的Ta基(Ta-based)吸收體,例如TaN與TaBN,在成像擴展性方面處於極限。在EUV遮罩中獲得小於2%的反射率所需的Ta基吸收體厚度約為50奈米(nm)至80奈米,這會導致遮罩3D效果。減薄至低於50奈米的Ta基吸收體厚度 將減少吸收的光量,降低歸一化圖像對數斜率(normalized image log-slope;NILS)並增加通過間距的最佳變化。使用具有較高消光係數κ的替代吸收材料將顯著降低吸收層厚度,從而減輕遮罩3D效果。
在本揭露的一些實施方式中,提供具有高消光係數的間隙型材料作為EUV遮罩的吸收體。間隙型高κ材料由基質金屬與占據基質金屬間隙位置的間隙元素組成。間隙元素與基質金屬的尺寸比為約0.41至約0.59,使得間隙元素的原子佔據基質金屬的面心立方(face-centred-cubic;FCC)、體心立方(body-centred-cubic;BCC)及六角最密堆積(hexagonal-closed-packed;HCP)晶格中的八面體位置。通過在EUV遮罩中使用這些間隙型材料作為吸收體,可以使用厚度小於50奈米的薄吸收層以降低遮罩3D效果。因此,改善掃描儀的吞吐量。
以下描述涉及遮罩製造製程,其包含兩個步驟,空白遮罩製造製程以及遮罩製造製程。在空白遮罩製造製程的期間,空白遮罩通過在合適的基板上沉積合適的層(例如,多個反射層)來形成。在遮罩製造製程的期間,圖案化空白遮罩以形成具有IC元件層設計的遮罩。
第1圖為根據本揭露之一些實施方式之EUV空白遮罩100的剖面圖。
參閱第1圖,EUV空白遮罩100包含基板102、基板102的正面上方的反射多層堆疊110、反射多層堆疊 110上方的覆蓋層120、覆蓋層120上方的吸收層130以及吸收層130上方的硬遮罩層140。EUV空白遮罩100更包含在基板102的與正面相對的背面上的導電層104。吸收層130包含由基質金屬與占據基質金屬的間隙位置的間隙元素組成的間隙型材料。間隙元素是具有非常小的半徑的非金屬元素,例如硼、碳、氮、矽與磷。
第2圖為根據一些實施方式之用於製造第1圖的EUV空白遮罩100的方法200的流程圖。第3A圖至第3D圖為根據一些實施方式之在第2圖的製造製程的各個階段的EUV空白遮罩100的剖面圖。以下將參考EUV空白遮罩100詳細討論方法200。在一些實施方式中,在方法200之前、期間及/或之後執行附加的步驟,或者替換及/或消除所描述的一些步驟。在一些實施方式中,以下描述的一些特徵被替換或消除。所屬技術領域中具通常知識者將理解,儘管一些實施方式是用以特定順序執行的步驟來討論的,但是這些步驟可以用另一種邏輯順序來執行。
參閱第2圖與第3A圖,根據一些實施方式,方法200包含步驟202,其中形成反射多層堆疊110於基板102上。第3A圖是根據一些實施方式之形成反射多層堆疊110於基板102上之後的EUV空白遮罩100的初始結構的剖面圖。
參閱第3A圖,EUV空白遮罩100的初始結構包含由玻璃、矽、石英或其他低熱膨脹材料製成的基板102。低熱膨脹材料有助於最小化在使用EUV空白遮罩100的 期間由於遮罩加熱引起的圖像失真。在一些實施方式中,基板102包含熔融石英、熔融石英、氟化鈣、碳化矽、黑金剛石或摻雜氧化矽的氧化鈦(SiO2/TiO2)。在一些實施方式中,基板102具有範圍從約1毫米(mm)至約7毫米的厚度。若基板102的厚度過小,則在一些情況下,EUV空白遮罩100的破損或翹曲的風險增加。另一方面,若基板102的厚度過大,則有時會不必要地增加EUV空白遮罩100的重量。
在一些實施方式中,導電層104設置於基板102的背面上。在一些實施方式中,導電層104直接接觸基板102的背面。在製造EUV空白遮罩100的期間,導電層104適於提供EUV空白遮罩100到靜電遮罩夾持(未示出)的靜電耦合。在一些實施方式中,導電層104包含氮化鉻(CrN)或硼化鉭(TaB)。在一些實施方式中,導電層104通過沉積製程形成,例如化學氣相沉積(chemical vapor deposition;CVD)、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)或物理氣相沉積(physical vapor deposition;PVD)。控制導電層104的厚度使得導電層104是光學透明的。
反射多層堆疊110設置於基板102的與背面相對的正面之上。在一些實施方式中,反射多層堆疊110直接接觸基板102的正面。反射多層堆疊110對EUV光提供高反射率。在一些實施方式中,反射多層堆疊110配置以 在EUV峰值照射波長處實現約60%至約75%的反射率,例如在13.5奈米的EUV照射。具體而言,當EUV光以6°的入射角施加到反射多層堆疊110的表面時,在13.5奈米波長附近的光的最大反射率為約60%、約62%、約65%、約68%、約70%、約72%或約75%。
在一些實施方式中,反射多層堆疊110包含高折射率材料與低折射率材料的交替堆疊層。一方面具有高折射率的材料具有散射EUV光的趨勢,另一方面具有低折射率的材料具有透射EUV光的趨勢。將這兩種類型的材料配對在一起提供共振反射率。在一些實施方式中,反射多層堆疊110包含交替堆疊的鉬(Mo)層與矽(Si)層。在一些實施方式中,反射多層堆疊110包含交替堆疊的Mo層與Si層,其中Si層是最頂層。在一些實施方式中,Mo層直接接觸基板102的正面。在一些其他的實施方式中,Si層直接接觸基板102的正面。或者,反射多層堆疊110包含可選地Mo層與鈹(Be)層的堆疊層。
反射多層堆疊110中的每一層的厚度取決於EUV波長與EUV光的入射角。調整反射多層堆疊110中交替層的厚度以最大化在每個界面處反射的EUV光的建設性干涉,並且最小化EUV光的整體吸收。在一些實施方式中,反射多層堆疊110包含20至60對交替的Mo層與Si層。每個Mo與Si層對可以具有範圍從約2奈米至約7奈米的厚度,總厚度範圍從約100奈米到約300奈米。
在一些實施方式中,使用離子束沉積(ion beam deposition;IBD)或直流(direct current;DC)磁控濺射將反射多層堆疊110中的每一層沉積於基板102與下層之上。所使用的沉積方法有助於確保反射多層堆疊110的厚度均勻性於基板102上優於約0.85。例如,為了形成Mo/Si反射多層堆疊110,使用Mo靶作為濺射靶,且在0.03至0.30奈米/秒(nm/sec)的沉積速率具有300V至1500V的離子加速電壓之氬氣(Ar)(具有1.3×10-2Pa至2.7×10-2Pa的氣壓)作為濺射氣體來沉積Mo層,然後使用Si靶材作為濺射靶材,且在0.03至0.30奈米/秒(nm/sec)的沉積速率具有300V至1500V的離子加速電壓之氬氣(Ar)(具有1.3×10-2Pa至2.7×10-2Pa的氣壓)作為濺射氣體來沉積Si層。通過以20至60個循環堆疊Si層與Mo層,每個循環包含上述步驟,沉積Mo/Si反射多層堆疊。
參閱第2圖與第3B圖,根據一些實施方式,方法200進行到步驟204,其中沉積覆蓋層120於反射多層堆疊110上。第3B圖是根據一些實施方式之第3A圖的結構在沉積覆蓋層120於反射多層堆疊110上之後的剖面圖。
參閱第3B圖,覆蓋層120設置於反射多層堆疊110的最高頂面之上。覆蓋層120有助於保護反射多層堆疊110免受氧化與反射多層堆疊110在隨後的空白遮罩與遮罩製造製程的期間可能暴露於其中的任何化學蝕刻劑之影響。
在一些實施方式中,覆蓋層120包含抗氧化與抗腐蝕的材料,並且與諸如氧氣、氮氣及水蒸氣的常見大氣氣體種類具有低化學反應性。在一些實施方式中,覆蓋層120包含過渡金屬,例如釕(Ru)、銥(Ir)、銠(Rh)、鉑(Pt)、鈀(Pd)、鋨(Os)、錸(Re)、釩(V)、鉭(Ta)、鉿(Hf)、鎢(W)、鉬(Mo)、鋯(Zr)、錳(Mn)、鍀(Tc)或其合金。
在一些實施方式中,覆蓋層120使用諸如IBD、CVD、PECVD、PVD或原子層沉積(atomic layer deposition;ALD)的沉積製程形成。覆蓋層120的沉積通常在相對較低的溫度下進行,例如低於攝氏150度,以防止反射多層堆疊110的相互擴散。在要形成Ru層作為覆蓋層的情況下使用IBD,可以通過使用Ru靶作為濺射靶,在Ar大氣中進行沉積。
參閱第2圖與第3C圖,根據一些實施方式,方法200進行到步驟206,其中沉積吸收層130於覆蓋層120上。第3C圖是根據一些實施方式之在第3B圖的結構沉積吸收層130於覆蓋層120上之後的剖面圖。
吸收層130可用於吸收投射到EUV遮罩上的輻射。吸收層130包含在EUV波長範圍間具有高消光係數κ與接近1的折射率n的吸收材料。在一些實施方式中,吸收層130包含在13.5奈米波長處具有高消光係數κ與低折射率的吸收材料。在一些實施方式中,吸收層130的吸收材料的消光係數κ在約0.04至約0.08的範圍間。在 一些實施方式中,吸收層130的吸收材料的折射率n在從0.87至1的範圍間。
在一些實施方式中,吸收層130包含由基質金屬與占據基質金屬的間隙位置的間隙元素組成的間隙化合物。間隙元素有助於增加基質金屬的密度,從而導致基質金屬的消光係數增加。本揭露之一些實施方式的基質金屬呈現立方或六方晶格結構,其間隙元素填隙佈置在晶格中,並且保留基質金屬的晶格。
為了形成間隙化合物,間隙元素的尺寸需要足夠小以適合基質金屬的間隙位置。在一些實施方式中,間隙元素的原子半徑(rX)與基質金屬的原子半徑(rM)之比選擇為約0.41至約0.59。當半徑比(rX/rM)大於0.59時,間隙元素太大而不能適合基質金屬的間隙位置。另一方面,若半徑比(rX/rM)小於0.41,則間隙元素太小而不能用於增加基質金屬的密度。
合適的基質金屬是在EUV波長範圍間具有高吸收係數的那些金屬。在一些實施方案中,基質金屬是選自元素週期表的第3至12族的過渡金屬。
在一些實施方案中,基質金屬是來自第3族的過渡金屬,例如釔(Y)。在一些實施方式中,基質金屬是來自第4族的過渡金屬,例如鈦(Ti)、鋯(Zr)或鉿(Hf)。在一些實施方式中,基質金屬是來自第5族的過渡金屬,例如釩(V)。在一些實施方式中,基質金屬是來自第6族的過渡金屬,例如鉻(Cr)或鎢(W)。在一些實施方式中,基質 金屬是來自第9族的過渡金屬,例如鈷(Co)或銥(Ir)。在一些實施方式中,基質金屬是來自第10族的過渡金屬,例如鎳(Ni)。
間隙元素是輕非金屬元素,例如硼(B)、碳(C)、氮(N)、矽(Si)或磷(P)。所得間隙化合物是過渡金屬硼化物、過渡金屬碳化物、過渡金屬氮化物、過渡金屬矽化物或過渡金屬磷化物。
本揭露一些實施方式之具有介於0.41與0.59之間的基質金屬與間隙元素的半徑比的示例性間隙化合物在以下的表一中提供。
Figure 111122468-A0305-02-0015-1
在一些實施方式中,間隙化合物由兩種或更多種過渡金屬的基質合金與占據合金的間隙位置的間隙元素組成。在一些實施方式中,合金是Cr與Ta的二元合金,並且間隙元素是N。在一些實施方式中,合金是Cr、Ta及V的三元合金,並且間隙元素是N。
在一些實施方式中,吸收層130包含濃度範圍為 約20%的重量(按重量計)至約95%的重量之過渡金屬。在一些實施方式中,吸收層130包含濃度為約20%的重量、約25%的重量、約30%的重量、約35%的重量、約40%的重量、約45%的重量、約50%的重量、約55%的重量、約60%的重量、約65%的重量、約70%的重量、約75%的重量、約80%的重量、約85%的重量、約90%的重量、約92%的重量、約93%的重量、約94%的重量或約95%的重量之過渡金屬。
在一些實施方式中,吸收層130包含濃度範圍為約5%的重量至約80%的重量的間隙元素。在一些實施方式中,吸收層130包含濃度為約5%的重量、約10%的重量、約15%的重量、約20%的重量、約25%的重量、約30%的重量、約35%的重量、約40%的重量、約45%的重量、約50%的重量、約55%的重量、約60%的重量、約65%的重量、約70%的重量、約75%的重量或約80%的重量的間隙元素。
因為本揭露之一些實施方式的間隙化合物在EUV波長範圍間具有高消光係數,所以厚度小於50奈米的薄吸收層130可用於提供足夠的EUV吸收,同時降低遮罩3D效果。在一些實施方式中,吸收層130可以具有範圍從約30奈米到約40奈米的厚度。
吸收層130通過諸如PVD、CVD、ALD、射頻(radio frequency;RF)磁控濺射、DC磁控濺射或IBD的沉積技術形成。沉積製程是在間隙元素存在的情況下進 行的,間隙元素例如B、C、N、Si或P。在間隙元素存在的情況下進行沉積會導致間隙元素結合到吸收層130的間隙位置中。
在本揭露的一些實施方式中,通過使用具有高消光係數κ的間隙化合物作為吸收材料,可以減少由EUV相位失真引起的遮罩3D效果。因此,可以減少最佳焦點偏移與圖案放置誤差,同時可以增加歸一化圖像對數斜率。
參閱第2圖與第3D圖,根據一些實施方式,方法200進行到步驟208,其中沉積硬遮罩層140於吸收層130上。第3D圖是根據一些實施方式之在第3C圖的結構沉積硬遮罩層140於吸收層130上之後的剖面圖。
參閱第3D圖,硬遮罩層140設置於吸收層130上。硬遮罩層140具有與吸收層130不同的蝕刻特性,從而用作蝕刻停止層以防止在微影製程中損壞吸收層130。在一些實施方式中,硬遮罩層140視為用於減少EUV輻射的反射之抗反射層。在一些實施方式中,硬遮罩層140包含介電材料,例如SiN、TaBO、TaO、CrO或CrON。
在一些實施方式中,使用諸如CVD、PECVD或PVD的沉積製程來形成硬遮罩層140。
另外或替代地,在一些實施方式中,緩衝層(未示出)形成於覆蓋層120上作為蝕刻停止層,用於在隨後的聚焦離子束缺陷修復製程的期間圖案化吸收層130與犧牲層。緩衝層可以包含二氧化矽(SiO2)、氮氧化矽(SiON)或其他合適的材料。
第4圖為根據本揭露之第二實施方式之通過圖案化EUV空白遮罩100的吸收層130而形成的EUV遮罩400的剖面圖。參閱第4圖,EUV遮罩400包含基板102、基板102的正面上方的反射多層堆疊110、反射多層堆疊110上方的覆蓋層120以及覆蓋層120上方的圖案化吸收層130P。EUV遮罩400更包含在與基板102的正面相對的背面上的導電層104。
圖案化吸收層130P包含開口152的圖案,其對應於待形成於半導體晶圓上的電路圖案。開口152的圖案位於EUV遮罩400的圖案區域400A中,暴露覆蓋層120的表面。圖案區域400A被EUV遮罩400的周邊區域400B包圍。周邊區域400B對應於EUV遮罩400的未圖案化區域,其在IC製造的期間不用於暴露製程。在一些實施方式中,EUV遮罩400的圖案區域400A位於基板102的中央區域,而周邊區域400B位於基板102的邊緣部分。圖案區域400A與周邊區域400B被溝槽154分開。溝槽154延伸穿過圖案化吸收層130P、覆蓋層120以及反射多層堆疊110,暴露基板102的正面。
第5圖為根據一些實施方式之用於製造EUV遮罩(例如EUV遮罩400)的方法的流程圖。第6A圖至第6G圖為根據一些實施方式之在製造製程的各個階段的EUV遮罩400的剖面圖。以下參考EUV遮罩400詳細討論方法500。在一些實施方式中,在方法500之前、期間及/或之後執行附加步驟,或者所描述的一些步驟被替換 及/或消除。在一些實施方式中,以下描述的一些特徵被替換或消除。所屬技術領域中具通常知識者將理解,儘管一些實施方式是用以特定順序執行的步驟來討論的,但是這些步驟可以用另一種邏輯順序來執行。
參閱第5圖與第6A圖,根據一些實施方式,方法500包含步驟502,其中沉積光阻層610於硬遮罩層140上。第6A圖是根據一些實施方式之在硬遮罩層140上沉積光阻層610之後的結構的剖面圖。
參閱第6A圖,光阻層610設置於EUV空白遮罩100的最高頂面,即硬遮罩層140上。光阻層610包含可操作地通過輻射圖案化的光敏材料。在一些實施方式中,光阻層610包含正性光阻材料、負性光阻材料或混合性光阻材料。在一些實施方式中,例如通過旋塗將光阻層610施加到硬遮罩層140的表面上。
參閱第5圖與第6B圖,根據一些實施方式,方法500進行到步驟504,其中微影圖案化光阻層610以形成圖案化光阻層610P。第6B圖是根據一些實施方式之在第6A圖的結構微影圖案化光阻層610以形成圖案化光阻層610P之後的剖面圖。
參閱第6B圖,通過首先對光阻層610進行照射圖案來對光阻層610進行圖案化。接著,取決於光阻層610中使用正性或負性抗蝕劑,利用抗蝕劑顯影劑移除光阻層610的暴露或未暴露部分,從而形成在其中具有開口612圖案的圖案化光阻層610P。開口612暴露硬遮罩層140 的部分。開口612位於圖案區域400A中並且對應於EUV遮罩400(第4圖)中存在開口152的圖案的位置。
參閱第5圖與第6C圖,根據一些實施方式,方法500進行到步驟506,其中使用圖案化光阻層610P作為蝕刻遮罩蝕刻硬遮罩層140以形成圖案化硬遮罩層140P。第6C圖是根據一些實施方式之在第6B圖的結構蝕刻硬遮罩層140以形成圖案化硬遮罩層140P之後的剖面圖。
參閱第6C圖,蝕刻被開口612暴露的硬遮罩層140的部分以形成延伸穿過硬遮罩層140的開口142。開口142暴露下面的吸收層130的部分。在一些實施方式中,硬遮罩層140是使用各向異性蝕刻進行蝕刻。在一些實施方式中,各向異性蝕刻是乾式蝕刻,例如反應離子蝕刻(reactive-ion etching;RIE)、濕式蝕刻或其組合。相對於提供吸收層130的材料,蝕刻選擇性地移除提供硬遮罩層140的材料。硬遮罩層140的剩餘部分構成圖案化硬遮罩層140P。若在硬遮罩層140的蝕刻製程的期間沒有完全消耗,在蝕刻硬遮罩層140之後,圖案化光阻層610P從圖案化硬遮罩層140P的表面移除,例如使用濕式剝離或電漿灰化。
參閱第5圖與第6D圖,根據一些實施方式,方法500進行到步驟508,其中使用圖案化硬遮罩層140P作為蝕刻遮罩蝕刻吸收層130以形成圖案化吸收層130P。第6D圖是根據一些實施方式之在第6C圖的結構蝕刻吸收層130以形成圖案化吸收層130P之後的剖面圖。
參閱第6D圖,蝕刻被開口142暴露的吸收層130的部分以形成延伸穿過吸收層130的開口132。開口132暴露下面的覆蓋層120的部分。在圖案化吸收層130P中的開口132定義圖案在EUV遮罩400中的開口152。在一些實施方式中,使用各向異性蝕刻製程來蝕刻吸收層130。在一些實施方式中,各向異性蝕刻是乾式蝕刻(例如RIE)、濕式蝕刻或其組合,其相對於提供下方覆蓋層120的材料選擇性地移除提供吸收層130的材料。例如,在一些實施方式中,吸收層130用含有氯的氣體,例如Cl2或BCl3,或用含有氟的氣體,例如NF3進行乾式蝕刻。氬氣(Ar)可以用作載氣。在一些實施方式中,氧氣(O2)也可以用作載氣。蝕刻速率與蝕刻選擇性取決於蝕刻劑氣體、蝕刻劑流速、功率、壓力與基板溫度。在蝕刻之後,吸收層130的剩餘部分構成圖案化吸收層130P。
參閱第5圖與第6E圖,根據一些實施方式,方法500進行到步驟510,其中形成包含開口622的圖案的圖案化光阻層620P於圖案化硬遮罩層140P與覆蓋層120上。第6E圖是根據一些實施方式之在第6D圖的結構形成包含開口622的圖案的圖案化光阻層620P於圖案化硬遮罩層140P與覆蓋層120上之後的剖面圖。
參閱第6E圖,開口622在圖案化吸收層130P的周邊暴露出圖案化硬遮罩層140P的部分。開口622對應於EUV遮罩400(第4圖)的周邊區域400B中的溝槽154。為了形成圖案化光阻層620P,在圖案化硬遮罩 層140P與覆蓋層120上施加光阻層(未示出)。光阻層填充圖案化硬遮罩層140P內的開口142以及圖案化吸收層130P內的開口132。在一些實施方式中,光阻層包含正性光阻材料、負性光阻材料或混合性光阻材料。在一些實施方式中,光阻層包含與上述第6A圖中的光阻層610相同的材料。在一些實施方式中,光阻層包含與光阻層610不同的材料。在一些實施方式中,光阻層例如通過旋塗形成。隨後通過將光阻層暴露於輻射圖案並取決於使用的是正性抗蝕劑或負性抗蝕劑,使用抗蝕劑顯影劑移除光阻層的暴露或未暴露部分來圖案化光阻層。光阻層的剩餘部分構成圖案化光阻層620P。
參閱第5圖與第6F圖,方法500進行至步驟512,其中使用圖案化光阻層620P作為蝕刻遮罩蝕刻圖案化硬遮罩層140P、圖案化吸收層130P、覆蓋層120及反射多層堆疊110以在基板102的周邊區域400B中形成溝槽154,根據一些實施方式。第6F圖是根據一些實施方式之在第6E圖的結構蝕刻圖案化硬遮罩層140P、圖案化吸收層130P、覆蓋層120及反射多層堆疊110以在基板102的周邊區域400B中形成溝槽154之後的剖面圖。
參閱第6F圖,溝槽154延伸穿過圖案化硬遮罩層140P、圖案化吸收層130P、覆蓋層120及反射多層堆疊110以暴露基板102的表面。溝槽154圍繞EUV遮罩400的圖案區域400A,將圖案區域400A與周邊區域400B分開。
在一些實施方式中,使用單一各向異性蝕刻製程蝕刻圖案化硬遮罩層140P、圖案化吸收層130P、覆蓋層120及反射多層堆疊110。各向異性蝕刻可以是乾式蝕刻(例如RIE)、濕式蝕刻或其組合,對提供基板102的材料,選擇性相應移除圖案化硬遮罩層140P、圖案化吸收層130P、覆蓋層120及反射多層堆疊110的材料。在一些實施方式中,蝕刻圖案化硬遮罩層140P、圖案化吸收層130P、覆蓋層120及反射多層堆疊110使用多個不同的各向異性蝕刻製程蝕刻。每個各向異性蝕刻可以是乾式蝕刻(例如RIE)、濕式蝕刻或其的組合。
隨後,例如通過濕式剝離或電漿灰化,從基板102的圖案區域400A與周邊區域400B移除圖案化光阻層620P。從圖案化硬遮罩層140P中的開口142以及圖案化吸收層130P中的開口132移除圖案化光阻層620P重新暴露圖案區域400A中的覆蓋層120的表面。
參閱第5圖與第6G圖,根據一些實施方式,方法500進行到步驟514,其中移除圖案化硬遮罩層140P。第6G圖是根據一些實施方式之在第6F圖的結構在移除圖案化硬遮罩層140P之後的剖面圖。
參閱第6G圖,圖案化硬遮罩層140P的移除暴露圖案化吸收層130P的表面。在一些實施方式中,圖案化硬遮罩層140P通過蝕刻製程移除,蝕刻製程可以是各向異性蝕刻或各向同性蝕刻。在一些實施方式中,蝕刻可以是乾式蝕刻(例如RIE)或濕式化學蝕刻,其移除選擇圖 案化吸收層130P、覆蓋層120、反射多層堆疊110與基板102的圖案化硬遮罩層140P。
在移除圖案化硬遮罩層140P之後,可以清洗EUV遮罩400以從其中移除任何污染物。在一些實施方式中,通過將EUV遮罩400浸入氫氧化銨(NH4OH)溶液中來清洗EUV遮罩400。在一些實施方式中,通過將EUV遮罩400浸入稀釋的氫氟酸(HF)溶液中來清洗EUV遮罩400。
隨後用例如波長為193奈米的UV光照射EUV遮罩400,以檢查圖案區域400A中的任何缺陷。可以從漫反射光中檢測異物。若檢測到缺陷,則使用合適的清洗製程進一步清洗EUV遮罩400。
圖案化吸收層130P包含具有高消光係數的間隙化合物,這允許形成更薄的吸收層。因此可以減少由較厚的吸收層引起的遮罩三維(three-dimension;3D)效果,並且可以消除不必要的EUV光。因此,EUV遮罩400上的圖案可以精確地投影到半導體晶圓上。
第7圖為根據本揭露之一些實施方式之微影系統700的示意圖。微影系統700在本揭露之一些實施方式中也可以被稱為「掃描儀」,其可操作以利用相應的輻射源與暴露模式來執行微影暴露製程。
在一些實施方式中,微影系統700包含高亮度光源702、照明器704、遮罩台706、光罩(即,EUV遮罩400)、投影光學模組710以及基板台712。在一些實 施方式中,微影系統可以包含第7圖中未繪示的附加組件。在進一步的實施方式中,高亮度光源702、照明器704、遮罩台706、投影光學模組710以及基板台712中的一個或多個可以從微影系統700中省略或者可以被集成為組合組件。
高亮度光源702可以配置以發射具有在約1奈米(nm)到250奈米範圍間的波長的輻射。在一些實施方式中,高亮度光源702產生具有中心在約13.5奈米的波長的EUV光;因此,高亮度光源702也可以稱為「EUV光源」。
在微影系統700是EUV微影系統的實施方式中,照明器704包含各種反射光學組件,例如單個反射鏡或包含多個反射鏡的反射鏡系統。照明器704可以將來自高亮度光源702的光引導到遮罩台706上,並且更具體地引導到固定在遮罩台706上的EUV遮罩400上。
遮罩台706可以配置以固定EUV遮罩400。在一些示例中,遮罩台706可以包含用於固定EUV遮罩400的靜電夾持(e-chuck)。這是因為氣體分子吸收EUV光,並且用於EUV微影圖案化的微影系統700保持在真空環境中以最小化EUV強度損失。
在一些示例中,薄膜714可以定位在EUV遮罩400上方,例如,在EUV遮罩400與基板台712之間。薄膜714可以保護EUV遮罩400免受顆粒影響並且可以防止顆粒進入聚焦,以使顆粒不會產生圖像(這可能會在微影製程中導致晶圓上的缺陷)。
投影光學模組710可以配置用於將EUV遮罩400的圖案成像到固定在基板台712上的半導體晶圓716上。在一些實施方式中,投影光學模組710包含用於EUV微影系統的反射光學元件。從EUV遮罩400引導的、攜帶在EUV遮罩400上定義的圖案的圖像的光可以由投影光學模組710收集。照明器704與投影光學模組710可以統稱為微影系統700的「光學模組」。
在一些實施方式中,半導體晶圓716可以是體半導體晶圓。例如,半導體晶圓716可以包含矽晶圓。半導體晶圓716可以包含矽或另一種元素半導體材料,例如鍺。在一些實施方式中,半導體晶圓716可以包含化合物半導體。化合物半導體可以包含砷化鎵、碳化矽、砷化銦、磷化銦、另一種合適的材料或其組合。在一些實施方式中,半導體晶圓716包含絕緣體上矽(silicon on insulator;SOI)基板。製造SOI基板可以使用氧佈植分離(separation by ion implantation of oxygen;SIMOX)製程、晶圓鍵合製程、另一適用製程或其組合。在一些實施方式中,半導體晶圓716包含未摻雜的基板。然而,在一些其他的實施方式中,半導體晶圓716包含摻雜基板,例如p型基板或n型基板。
在一些實施方式中,半導體晶圓716包含取決於半導體元件結構的設計要求的各種摻雜區域(未示出)。摻雜區域可以包含例如p型阱及/或n型阱。在一些實施方式中,摻雜區域摻雜有p型摻雜劑。例如,摻雜區域可以 摻雜有硼或氟化硼。在其他的示例中,摻雜區域摻雜有n型摻雜劑。例如,摻雜區域可以摻雜有磷或砷。在一些示例中,一些摻雜區域是p型摻雜的,而其他的摻雜區域是n型摻雜的。
在一些實施方式中,互連結構可形成於半導體晶圓716上。互連結構可以包含多個層間介電層,包含介電層。互連結構更可以包含形成於層間介電層中的多個導電特徵。導電特徵可以包括導電線、導電通孔及/或導電接觸。
在一些實施方式中,各種裝置元件形成於半導體晶圓716中。各種裝置元件的示例可以包含電晶體(例如,金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistors;MOSFETs)、互補金屬氧化物半導體(complementary metal oxide semiconductor;CMOS)電晶體、雙極電晶體(bipolar junction transistors;BJTs)、高壓電晶體、高頻電晶體、p通道場效電晶體(p-channel field effect transistors;PFETs)及/或n通道場效電晶體(n-channel field effect transistors;NFETs)、二極體或其他合適的元件。各種製程可以被使用以形成各種裝置元件,包含沉積、蝕刻、佈植、微影、退火及/或其他適用的製程。
裝置元件可以通過半導體晶圓716上方的互連結構互連以形成積體電路元件。積體電路元件可包含邏輯元件、記憶體元件(例如,靜態隨機存取記憶體(static random access memory;SRAM)元件)、射頻元件、輸入/輸出(input/output;I/O)元件、片上系統(system on chip;SoC)元件、圖像感應器元件、其他適用元件或其組合。
在一些實施方式中,半導體晶圓716可以塗覆對EUV光敏感的光阻。包含那些上面描述的各種組件可以集成在一起,並且可以用於執行微影暴露製程。
第8圖為根據本揭露之一些實施方式之使用EUV遮罩的方法800的流程圖。方法800包含暴露極紫外線(EUV)遮罩至入射輻射(例如EUV輻射)的步驟802。在步驟802中有用的EUV遮罩的示例包含上述EUV遮罩400。在步驟804,入射輻射的一部分被EUV遮罩400的圖案化吸收層130P吸收(即,在圖案化吸收層130P中吸收入射輻射的一部分)。在步驟806,反射來自反射多層堆疊110的入射輻射的一部分。在步驟808中,將由反射多層堆疊110反射的入射輻射的一部份引導到設置在半導體晶圓上的待圖案化的光阻。待圖案化的光阻已經暴露於從EUV遮罩400反射的輻射之後,在步驟810移除暴露於或未暴露於來自EUV遮罩400反射的入射輻射的光阻的部分。在步驟812,轉移圖案化光阻中的圖案到半導體晶圓中。
本揭露之一實施方式是有關於一種用於微影圖案化光阻的方法。方法包括接收具有光阻的晶圓,以及使用由極紫外線遮罩反射的極紫外線輻射暴露光阻。極紫外線 遮罩包括基板、位於基板上的反射多層堆疊、位於反射多層堆疊上的覆蓋層以及位於覆蓋層上的圖案化吸收層。圖案化吸收層包括基質金屬與佔據基質金屬的間隙位置的間隙元素,且間隙元素與基質金屬的尺寸比為約0.41至約0.59。在一些實施方式中,間隙元素選自由硼(B)、碳(C)、氮(N)、矽(Si)及磷(P)組成的群。在一些實施方式中,基質金屬包含過渡金屬,過渡金屬選自由鉻(Cr)、鈦(Ti)、鎢(W)、鋯(Zr)、銥(Ir)、鎳(Ni)、鈷(Co)、釩(V)、釔(Y)及鉿(Hf)組成的群。在一些實施方式中,圖案化吸收層包含Cr3C2、Cr7C3、Cr23C6、Cr4C、Cr2N、Cr2B、CrB2、TiC、TiB2、TiSi2、TiN、W2C、W2N、W3N2、ZrC、ZrC2、ZrB2、ZrN、ZrSi2、Ir5C3、Ir4C5、IrC2、Ir3C7、IrC3、IrC4、IrN2、Ir3Si、Ni3C、Ni3N、Co3C、Co2C、Co2N、Co3N、Co4C、Co2Si、V4C3、VN、VSi2、V3Si、Y2C、YN、YB2、YSi2、YC2、HfC或HfN。在一些實施方式中,基質金屬包含鉭(Ta)與一或多個過渡金屬的合金,該過渡金屬選自由鉻(Cr)、鈦(Ti)、鎢(W)、鋯(Zr)、銥(Ir)、鎳(Ni)、鈷(Co)、釩(V)、釔(Y)與鉿(Hf)組成的群。在一些實施方式中,圖案化吸收層包含CrTaN。在一些實施方式中,圖案化吸收層包含CrTaVN。在一些實施方式中,圖案化吸收層的厚度為30奈米至40奈米。在一些實施方式中,覆蓋層包含釕(Ru)。
本揭露之另一實施方式是有關於一種使用極紫外線遮罩的方法。方法包括暴露極紫外線遮罩至入射輻射。 極紫外線遮罩包括基板、位於基板上的反射多層堆疊、位於反射多層堆疊上的覆蓋層以及位於覆蓋層上的圖案化吸收層。圖案化吸收層包括基質金屬與佔據基質金屬的間隙位置的間隙元素,且間隙元素與基質金屬的尺寸比為約0.41至約0.59。方法更包括在圖案化吸收層中吸收入射輻射的一部分、反射來自反射多層堆疊的入射輻射的一部分,以及將由反射多層堆疊反射的入射輻射的部分引導至晶圓上的光阻。在一些實施方式中,間隙元素選自由硼(B)、碳(C)、氮(N)、矽(Si)及磷(P)組成的群。在一些實施方式中,基質金屬包含一過渡金屬,該過渡金屬選自由鉻(Cr)、鈦(Ti)、鎢(W)、鋯(Zr)、銥(Ir)、鎳(Ni)、鈷(Co)、釩(V)、釔(Y)及鉿(Hf)組成的群。在一些實施方式中,圖案化吸收層包含Cr3C2、Cr7C3、Cr23C6、Cr4C、Cr2N、Cr2B、CrB2、TiC、TiB2、TiSi2、TiN、W2C、W2N、W3N2、ZrC、ZrC2、ZrB2、ZrN、ZrSi2、Ir5C3、Ir4C5、IrC2、Ir3C7、IrC3、IrC4、IrN2、Ir3Si、Ni3C、Ni3N、Co3C、Co2C、Co2N、Co3N、Co4C、Co2Si、V4C3、VN、VSi2、V3Si、Y2C、YN、YB2、YSi2、YC2、HfC或HfN。在一些實施方式中,基質金屬包含鉭(Ta)與一或多個過渡金屬的合金,過渡金屬選自由鉻(Cr)、鈦(Ti)、鎢(W)、鋯(Zr)、銥(Ir)、鎳(Ni)、鈷(Co)、釩(V)、釔(Y)與鉿(Hf)組成的群。在一些實施方式中,圖案化吸收層包含CrTaN或CrTaVN。在一些實施方式中,圖案化吸收層的厚度小於50奈米。在一些實施方式中,方法更 包含顯影光阻,以形成圖案化光阻。
本揭露之另一實施方式是有關於一種極紫外線(EUV)遮罩。極紫外線遮罩包括基板、位於基板上的反射多層堆疊、位於反射多層堆疊上的覆蓋層以及位於覆蓋層上的圖案化吸收層。圖案化吸收層包括基質金屬與佔據基質金屬的間隙位置的間隙元素,且間隙元素與基質金屬的尺寸比為約0.41至約0.59。在一些實施方式中,基質金屬包含一過渡金屬,該過渡金屬選自由鉻(Cr)、鈦(Ti)、鎢(W)、鋯(Zr)、銥(Ir)、鎳(Ni)、鈷(Co)、釩(V)、釔(Y)及鉿(Hf)組成的群。在一些實施方式中,間隙元素選自由硼(B)、碳(C)、氮(N)、矽(Si)及磷(P)組成的群。
前述內容概述若干實施方式之特徵,使得熟習此項技術者可更佳地理解本揭露之一些實施方式之態樣。熟習此項技術者應瞭解,其可易於使用本揭露之一些實施方式作為用於設計或修改用於實施本揭露之一些實施方式中引入之實施方式之相同目的及/或達成相同優勢之其他製程及結構的基礎。熟習此項技術者亦應認識到,此類等效構造並不偏離本揭露之一些實施方式之精神及範疇,且此類等效構造可在本揭露之一些實施方式中進行各種改變、取代及替代而不偏離本揭露之一些實施方式的精神及範疇。
100:空白遮罩
102:基板
104:導電層
110:反射多層堆疊
120:覆蓋層
130:吸收層
140:硬遮罩層

Claims (10)

  1. 一種用於微影圖案化光阻的方法,包含:接收具有一光阻的一晶圓;以及使用由一極紫外線遮罩反射的一極紫外線輻射暴露該光阻,該極紫外線遮罩包含:一基板;一反射多層堆疊,位於該基板上;一覆蓋層,位於該反射多層堆疊上;以及一圖案化吸收層,位於該覆蓋層上,其中該圖案化吸收層包含一基質金屬與佔據該基質金屬的間隙位置的一間隙元素,且該間隙元素與該基質金屬的一尺寸比為約0.41至約0.59,其中該間隙元素選自由碳(C)及磷(P)組成的群。
  2. 如請求項1所述的方法,其中該基質金屬呈現一立方晶格結構或一六方晶格結構,且該間隙元素佔據該基質金屬的該立方晶格結構或該六方晶格結構中的八面體位置。
  3. 如請求項1所述的方法,其中該基質金屬包含一過渡金屬,該過渡金屬選自由鉻(Cr)、鈦(Ti)、鎢(W)、鋯(Zr)、銥(Ir)、鎳(Ni)、鈷(Co)、釩(V)、釔(Y)及鉿(Hf)組成的群。
  4. 如請求項3所述的方法,其中該圖案化吸收層包含Cr3C2、Cr7C3、Cr23C6、Cr4C、TiC、W2C、ZrC、ZrC2、Ir5C3、Ir4C5、IrC2、Ir3C7、IrC3、IrC4、Ni3C、Co3C、Co2C、Co4C、V4C3、Y2C、YC2或HfC。
  5. 如請求項1所述的方法,其中該圖案化吸收層的厚度為30奈米至40奈米。
  6. 一種使用極紫外線遮罩的方法,包含:暴露一極紫外線遮罩至一入射輻射,該極紫外線遮罩包含:一反射多層堆疊,位於一基板上;一覆蓋層,位於該反射多層堆疊上;以及一圖案化吸收層,位於該覆蓋層上,其中該圖案化吸收層包含一基質金屬與佔據該基質金屬的間隙位置的一間隙元素,且該間隙元素與該基質金屬的一尺寸比為約0.41至約0.59,其中該基質金屬包含鉭(Ta)與一或多個過渡金屬的合金,該過渡金屬選自由鈦(Ti)、鎢(W)、銥(Ir)、鎳(Ni)、鈷(Co)、釩(V)與釔(Y)組成的群;在該圖案化吸收層中吸收該入射輻射的一部分;反射來自該反射多層堆疊的該入射輻射的一部分;以及將由該反射多層堆疊反射的該入射輻射的該部分引導至一晶圓上的一光阻。
  7. 如請求項6所述的方法,其中該間隙元素選自由碳(C)及磷(P)組成的群。
  8. 如請求項6所述的方法,其中該圖案化吸收層包含CrTaVN。
  9. 如請求項6所述的方法,更包含:顯影該光阻,以形成一圖案化光阻。
  10. 一種極紫外線遮罩,包含:一基板;一反射多層堆疊,位於該基板上;一覆蓋層,位於該反射多層堆疊上;以及一圖案化吸收層,位於該覆蓋層上,其中該圖案化吸收層包含一基質金屬與佔據該基質金屬的間隙位置的一間隙元素,且該間隙元素與該基質金屬的一尺寸比為約0.41至約0.59,其中該基質金屬包含一過渡金屬,該過渡金屬選自由鎢(W)、銥(Ir)、鈷(Co)、釩(V)及釔(Y)組成的群。
TW111122468A 2021-07-09 2022-06-16 用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法 TWI820754B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163220415P 2021-07-09 2021-07-09
US63/220,415 2021-07-09
US17/716,522 2022-04-08
US17/716,522 US20230013260A1 (en) 2021-07-09 2022-04-08 Interstitial type absorber for extreme ultraviolet mask

Publications (2)

Publication Number Publication Date
TW202303701A TW202303701A (zh) 2023-01-16
TWI820754B true TWI820754B (zh) 2023-11-01

Family

ID=84030617

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111122468A TWI820754B (zh) 2021-07-09 2022-06-16 用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法

Country Status (5)

Country Link
US (1) US20230013260A1 (zh)
KR (1) KR20230009819A (zh)
CN (1) CN115356890A (zh)
DE (1) DE102022109191A1 (zh)
TW (1) TWI820754B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11852965B2 (en) * 2020-10-30 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with tantalum base alloy absorber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201418869A (zh) * 2012-09-28 2014-05-16 Asahi Glass Co Ltd Euv微影術用反射型光罩基底及其製造方法、以及euv微影術用反射型光罩及其製造方法
TW202013056A (zh) * 2018-08-31 2020-04-01 台灣積體電路製造股份有限公司 極紫外遮罩及其製造方法
TW202020552A (zh) * 2018-08-17 2020-06-01 台灣積體電路製造股份有限公司 光罩之製造方法
TW202109171A (zh) * 2019-08-29 2021-03-01 台灣積體電路製造股份有限公司 極紫外光遮罩
US20210200078A1 (en) * 2019-12-30 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Mask blanks and methods for depositing layers on mask blank

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201418869A (zh) * 2012-09-28 2014-05-16 Asahi Glass Co Ltd Euv微影術用反射型光罩基底及其製造方法、以及euv微影術用反射型光罩及其製造方法
TW202020552A (zh) * 2018-08-17 2020-06-01 台灣積體電路製造股份有限公司 光罩之製造方法
TW202013056A (zh) * 2018-08-31 2020-04-01 台灣積體電路製造股份有限公司 極紫外遮罩及其製造方法
TW202109171A (zh) * 2019-08-29 2021-03-01 台灣積體電路製造股份有限公司 極紫外光遮罩
US20210200078A1 (en) * 2019-12-30 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Mask blanks and methods for depositing layers on mask blank

Also Published As

Publication number Publication date
TW202303701A (zh) 2023-01-16
US20230013260A1 (en) 2023-01-19
KR20230009819A (ko) 2023-01-17
DE102022109191A1 (de) 2023-01-12
CN115356890A (zh) 2022-11-18

Similar Documents

Publication Publication Date Title
US11086215B2 (en) Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
JP7193344B2 (ja) 反射型マスクブランク、反射型マスクの製造方法、及び半導体装置の製造方法
TWI776597B (zh) 極紫外線遮罩以及形成極紫外線遮罩之方法
US10859902B2 (en) Lithography mask and method
US11846880B2 (en) Extreme ultraviolet mask and method for forming the same
JP7268211B2 (ja) 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
TW202001407A (zh) 極紫外光微影光罩及其製造方法
TWI820754B (zh) 用於微影圖案化光阻的方法以及極紫外線遮罩及其使用方法
TW201928505A (zh) 反射型光罩基底、反射型光罩及其製造方法、以及半導體裝置之製造方法
TWI778465B (zh) 極紫外光罩與其製造方法
TWI787966B (zh) 極紫外光遮罩與其形成方法
TWI817279B (zh) 極紫外光遮罩和其使用方法與形成方法
TWI703403B (zh) 遮罩及其製造方法和使用方法
CN113589640A (zh) 极紫外线微影遮罩和其制造方法
TWI816288B (zh) 極紫外光罩和形成極紫外光罩的方法
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
US20200073224A1 (en) Mask and method for manufacturing the same and method for patterning a layer
CN115826345A (zh) 具有帽盖层的极紫外掩模
TW202223529A (zh) 反射型光罩基底、反射型光罩及半導體裝置之製造方法
TW202334734A (zh) 極紫外線遮罩、其使用方法和圖案化方法
CN117250822A (zh) Euv光掩模及其制造方法