KR20210008144A - 고급 프로세스 제어를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술 - Google Patents

고급 프로세스 제어를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술 Download PDF

Info

Publication number
KR20210008144A
KR20210008144A KR1020217000776A KR20217000776A KR20210008144A KR 20210008144 A KR20210008144 A KR 20210008144A KR 1020217000776 A KR1020217000776 A KR 1020217000776A KR 20217000776 A KR20217000776 A KR 20217000776A KR 20210008144 A KR20210008144 A KR 20210008144A
Authority
KR
South Korea
Prior art keywords
sample
interest
selected regions
critical dimension
dimension uniformity
Prior art date
Application number
KR1020217000776A
Other languages
English (en)
Other versions
KR102517585B1 (ko
Inventor
하리 파탕기 스리라만
시바프라사스 미낙시순다람
아룬 로보
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20210008144A publication Critical patent/KR20210008144A/ko
Application granted granted Critical
Publication of KR102517585B1 publication Critical patent/KR102517585B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

샘플 분석 시스템은, 스캐닝 전자 현미경, 광학 및/또는 전자 빔(eBeam) 검사 시스템, 광학 계측 시스템을 포함한다. 이 시스템은 적어도 하나의 제어기를 더 포함한다. 제어기는, 샘플의 제1 복수의 선택된 관심 영역을 수신하고; 제1 복수의 선택된 관심 영역에서 스캐닝 전자 현미경에 의해 수행된 제1 검사에 기초하여 제1 임계 치수 균일성 맵(critical dimension uniformity map)을 생성하고; 제1 임계 치수 균일성 맵에 기초하여 제2 복수의 선택된 관심 영역을 결정하고; 제2 복수의 선택된 관심 영역에서 광학 및/또는 전자 빔 검사 시스템에 의해 수행된 제2 검사에 기초하여 제2 임계 치수 균일성 맵을 생성하며; 검사 결과에 그리고 광학 계측 시스템에 의해 샘플에 대해 수행된 오버레이 측정에 기초하여 하나 이상의 프로세스 도구 제어 파라미터를 결정하도록 구성된다.

Description

고급 프로세스 제어를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술
관련 출원들에 대한 교차 참조
본 출원은, 발명의 명칭이 "고급 프로세스 제어(APC)를 위한 광학적 방법을 사용한 통합된 SEM 기반 계측, 검사 및 검토(INTEGRATED SEM-BASED METROLOGY, INSPECTION AND REVIEW WITH OPTICAL METHODS FOR ADVANCED PROCESS CONTROL(APC))"이고 발명자가 Hari Pathangi, Sivaprrasath Meenakshisundaram, 및 Arun Lobo인, 2018년 6월 11일에 출원된 인도 특허 가출원 제201841021710호에 대한 우선권을 주장한다. 본 출원은 또한, 발명의 명칭이 "고급 프로세스 제어를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술(INTEGRATED SCANNING ELECTRON MICROSCOPY AND OPTICAL ANAYLSIS TECHNIQUES FOR ADVANCED PROCESS CONTROL)"이고 발명자가 Hari Pathangi Sriraman, Sivaprrasath Meenakshisundaram, 및 Arun Lobo인, 2018년 6월 21일에 출원된 인도 특허 가출원 제201841023172호에 대한 우선권을 주장한다. 인도 특허 가출원 제201841021710호 및 인도 특허 가출원 제201841021710호는 그 전체가 여기에 참조로 통합된다.
기술 분야
본 개시는 일반적으로 샘플 분석을 위한 시스템 및 방법에 관한 것이며, 보다 구체적으로는 고급 프로세스 제어를 위해 통합된 스캐닝 전자 현미경법 및 광학 분석 기술을 사용하는 시스템 및 방법에 관한 것이다.
리소그래피/건식 에칭 프로세스는 종종 기판(예를 들어, 웨이퍼)에 걸쳐 임계 치수(critical dimension; CD) 또는 피처(feature) 크기 불균일성을 생성한다. 이러한 불균일성을 특성화할 수 있는 것은 웨이퍼 수율과 최종 디바이스 성능으로 해석되기(translate) 때문에 반도체 제조시에 프로세스 제어에 매우 중요하다. 고급 프로세스 제어(advanced process control; APC) 루프에 대한 피드백 또는 피드포워드 데이터를 결정하기 위해 샘플(예를 들어, 웨이퍼 또는 기타 기판)을 분석하는 데 사용될 수 있는 다양한 계측 및/또는 검사 도구가 있다. SEM 도구는 임의의 검사 또는 계측 응용에서 제공하기에 양호한 감도를 가지고 있지만 SEM 도구는 광학 도구보다 훨씬 느린 경향이 있다. 따라서 SEM 기반 검사 및 계측 시스템에 대한 개선이 필요하다.
본 개시의 하나 이상의 예시적인 실시예에 따라 통합된 스캐닝 전자 현미경법 및 고급 프로세스 제어(APC)를 위한 광학 분석 기술을 사용하는 샘플 분석 시스템이 개시된다. 하나의 예시적인 실시예에서, 이 시스템은 스캐닝 전자 현미경(scanning electron microscope; SEM), 광학 검사 시스템 및 광학 계측 시스템을 포함한다. 실시예에서, 이 시스템은 SEM, 광학 검사 시스템 및 광학 계측 시스템에 통신 가능하게 결합된 적어도 하나의 제어기를 더 포함한다. 실시예에서, 제어기는 샘플의 제1 복수의 선택된 관심 영역을 수신하고 제1 복수의 선택된 관심 영역에서 SEM에 의해 수행된 제1 검사에 기초하여 샘플에 대한 제1 임계 치수 균일성 맵(critical dimension uniformity map)을 생성하도록 구성된다. 실시예에서, 제어기는 또한, 제1 임계 치수 균일성 맵에 기초해 심플의 제2 복수의 선택된 관심 영역을 결정하고, 제2 복수의 선택된 관심 영역에서 광학 검사 시스템에 의해 수행된 제2 검사에 기초하여 샘플에 대한 제2 임계 치수 균일성 맵을 생성하도록 구성된다. 실시예에서, 제어기는 또한, 제2 임계 치수 균일성 맵에 그리고 광학 계측 시스템에 의해 샘플에 대해 수행된 오버레이 측정에 적어도 부분적으로 기초하여 하나 이상의 프로세스 도구 제어 파라미터를 결정하도록 구성된다.
또 다른 예시적인 실시예에서, 이 시스템은 SEM, 전자 빔(electron beam; eBeam) 검사 시스템 및 광학 계측 시스템을 포함한다. 실시예에서, 시스템은 SEM, 전자 빔 광학 검사 시스템 및 광학 계측 시스템에 통신 가능하게 결합된 적어도 하나의 제어기를 더 포함한다. 실시예에서, 제어기는 샘플의 제1 복수의 선택된 관심 영역을 수신하고 제1 복수의 선택된 관심 영역에서 SEM에 의해 수행된 제1 검사에 기초하여 샘플에 대한 제1 임계 치수 균일성 맵을 생성하도록 구성된다. 실시예에서, 제어기는 또한, 제1 임계 치수 균일성 맵에 기초해 심플의 제2 복수의 선택된 관심 영역을 결정하고, 제2 복수의 선택된 관심 영역에서 전자 빔 검사 시스템에 의해 수행된 제2 검사에 기초하여 샘플에 대한 제2 임계 치수 균일성 맵을 생성하도록 구성된다. 실시예에서, 제어기는 또한, 제2 임계 치수 균일성 맵에 그리고 광학 계측 시스템에 의해 샘플에 대해 수행된 오버레이 측정에 적어도 부분적으로 기초하여 하나 이상의 프로세스 도구 제어 파라미터를 결정하도록 구성된다.
APC를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술을 사용하는 방법이 또한, 본 개시의 하나 이상의 예시적인 실시예에 따라 개시된다. 방법의 하나의 예시적인 구현에서, 샘플의 제1 복수의 선택된 관심 영역은 (예를 들어, 사용자 입력, APC 도구 출력 등에 기초하여) 수신된다. SEM을 사용하여 샘플의 제1 복수의 선택된 관심 영역에서 제1 검사가 수행되고, 제1 검사에 기초하여 샘플에 대한 제1 임계 치수 균일성 맵이 생성된다. 제1 임계 치수 균일성 맵에 기초하여 샘플의 제2 복수의 선택된 관심 영역이 결정될 수 있다. 구현에서, 광학 검사 시스템 및/또는 전자 빔 검사 시스템을 사용하여 샘플의 제2 복수의 선택된 관심 영역에서 제2 검사가 수행되고, 제2 검사에 기초해 샘플에 대한 제2 임계 치수 균일성 맵이 생성된다. 구현에서 오버레이 측정은 광학 계측 시스템을 사용하여 샘플에 대해 또한 수행된다. 그런 다음, 제2 임계 치수 균일성 맵 및 오버레이 측정에 적어도 부분적으로 기초하여 하나 이상의 프로세스 도구 제어 파라미터가 결정될 수 있다.
전술한 일반적인 설명 및 다음의 상세한 설명은 모두 단지 예시적이고 설명적인 것이며, 청구된 본 발명을 반드시 제한하는 것은 아니라는 것을 이해해야 한다. 본 명세서에 통합되어 그 일부를 구성하는 첨부 도면은 본 발명의 실시예를 도시하고, 일반적인 설명과 함께 본 발명의 원리를 설명하는 역할을 한다.
본 개시의 많은 장점은 첨부 도면을 참조함으로써 당업자가 더 잘 이해할 수 있다.
도 1은 본 개시 내용의 하나 이상의 실시예에 따른, 진보된 프로세스 제어를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술을 사용하는 시스템의 개략도이다.
도 2a는 본 개시의 하나 이상의 실시예에 따른, 복수의 선택된 관심 영역에서 스캐닝 전자 현미경(SEM)에 의해 수행된 검사에 기초한 임계 치수 균일성(critical dimension uniformity; CDU) 맵의 예이다.
도 2b는 복수의 선택된 관심 영역에서 광학 검사 시스템 또는 전자 빔 검사 시스템에 의해 수행된 검사에 기초한 CDU 맵의 예이며, 복수의 선택된 관심 영역은 본 개시의 하나 이상의 실시예에 따라, 도 2a의 CDU 맵에 기초하여 결정된다.
도 2c는 본 개시의 하나 이상의 실시예에 따른 복수의 선택된 관심 영역에서 SEM에 의해 수행된 전압 콘트라스트(voltage contrast; VC) 스캔에 기초한 CDU 맵의 예이다.
도 2d는 복수의 선택된 관심 영역에서 SEM에 의해 수행된 오버레이 측정에 기초한 CDU 맵의 예이며, 복수의 선택된 관심 영역은 본 개시의 하나 이상의 실시예에 따라, 도 2c의 CDU 맵에 기초하여 결정된다.
도 2e는 본 개시의 하나 이상의 실시예에 따른 광학 계측 시스템에 의해 수행되는 오버레이 측정에 기초한 벡터 맵의 예이다.
도 3a는 하나 이상의 구현에 따라 진보된 프로세스 제어를 위해 통합된 스캐닝 전자 현미경법 및 광학 분석 기술을 사용하는 방법을 예시하는 흐름도의 일부이다.
도 3b는 하나 이상의 구현에 따라 진보된 프로세스 제어를 위해 통합된 스캐닝 전자 현미경 및 광학 분석 기술을 사용하는 방법을 예시하는 흐름도의 일부이다.
도 3c는 하나 이상의 구현에 따라 진보된 프로세스 제어를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술을 이용하는 방법을 예시하는 흐름도의 일부이다.
이제, 첨부 도면에 예시되는, 개시되는 특허 대상에 대한 참조가 상세하게 이루어질 것이다. 본 개시는 특정 실시예 및 이의 특정 피처와 관련하여 특히 도시되고 설명되었다. 본 명세서에 설명된 실시예는 제한하기보다는 예시적인 것으로 간주된다. 본 개시의 사상 및 범위를 벗어나지 않고 형태 및 세부 사항에 있어서 다양한 변경 및 수정이 이루어질 수 있음은 당업자에게 쉽게 명백할 것이다.
CD 또는 오버레이 오류 변동 또는 결함 밀도를 특성화하는 것은 웨이퍼 수율 및 최종 디바이스 성능으로 해석되기 때문에 반도체 제조시의 프로세스 제어에 매우 중요할 수 있다. 고급 프로세스 제어(APC) 루프에 대한 피드백 또는 피드포워드 데이터를 결정하기 위해 샘플(예를 들어, 웨이퍼 또는 기타 기판)을 분석하는 데 사용할 수 있는 다양한 계측 및/또는 검사 도구가 있다. 광학 도구는 상대적으로 빠른 처리량의 이점이 있지만 공간 해상도는 뒤떨어진다. 반면에 스캐닝 전자 현미경(SEM)은 일반적으로 광학 도구보다 감도가 더 좋지만 훨씬 느린 경향이 있다.
광학 및 SEM 플랫폼의 개별 장점을 결합한 시스템 및 방법이 개시된다. 이러한 시스템 및 방법을 사용하면, 선택된 처리량 제약에서 디바이스 가변성 보상을 위해 팹(fab)에서 피드포워드 및 피드백 실행에 사용될 수 있는 개선된 데이터 세트를 달성할 수 있다. 더 작은 기술 노드, 패턴 및 통합 솔루션의 복잡성이 증가함에 따라 SEM 기반 기술은 검사-검토 및 계측 테스트에서 더 많은 점유율을 차지하고 있다. 본 개시는 SEM 기반 플랫폼을 사용하여 생성된 다양한 유형의 프로세스 제어 데이터를 하나 이상의 광학 도구(예를 들어, 광학 검사 및/또는 계측 도구)에 의해 수집된 프로세스 제어 데이터와 통합하여, APC 피드백 및/또는 피드포워드 루프에 적합한 데이터를 생성하는 시스템 및 방법을 기술한다.
본 개시의 하나 이상의 예시적인 실시예에 따라 통합된 스캐닝 전자 현미경법 및 APC를 위한 광학 분석 기술을 사용하는 샘플 분석 시스템(100)이 개시된다. 도 1은 시스템(100)의 예시적인 실시예를 도시한다. 시스템(100)은 샘플(114)의 적어도 하나의 표면(예를 들어, 웨이퍼, 보드, 패널, 레티클 또는 임의의 다른 기판)을 분석하는데 사용될 수 있다. 실시예에서, 시스템은 SEM(102)(예를 들어, 케이엘에이-텐코 코포레이션(KLA-Tencor Corporation)의 eDR 7xxx 검토 도구 시리즈, 통합된 또는 오프라인 CD 측정 능력을 가진 eSLxx 검사 도구 시리즈 등), 광학 검사 시스템(106)(예를 들어, 광대역 플라즈마 검사 시스템, 레이저 지속 플라즈마 검사 시스템, 케이엘에이-텐코 코포레이션의 광대역 플라즈마 KLA2xxx 또는 3xxx 시리즈(예를 들어, 2925, 3905…) 시리즈 검사 시스템 등)을 포함하는 검사 시스템(104), 및/또는 전자 빔(eBeam) 검사 시스템(108)(예를 들어, 케이엘에이-텐코 코포레이션의 eSLxx 시리즈 전자 빔 웨이퍼 결함 검사 플랫폼 등), 및 광학 계측 시스템(110)(예를 들어, 광학 오버레이 계측 시스템, 케이엘에이-텐코 코포레이션의 ARCHER 시리즈 오버레이 계측 시스템 등)을 포함한다. 본 개시서 더 설명되는 바와 같이, 시스템(100)은 APC 피드백 및/또는 피드포워드 루프에 대한 민감도 및 처리량을 개선하기 위해 이들 검사/측정 도구(즉, SEM(102), 검사 시스템(104) 및 광학 계측 시스템(110)) 각각의 독립적인 능력을 활용하는 분석 프로세스(예를 들어, 방법(300))를 구현하도록 구성될 수 있다.
샘플(114)은 하나 이상의 지지 부재(112)에 의해 지지된다. 예를 들어, 하나 이상의 지지 부재(112)는 하나 이상의 샘플 스테이지, 척 등을 포함할 수 있다. 일부 실시예에서, 각각의 검사/계측 도구(예를 들어, SEM(102), 검사 시스템(104), 광학 계측 시스템(110))은, 샘플(114)이 검사/계측 도구에 의해 분석될 때 샘플(114)을 지지하도록 구성된 하나 이상의 지지 부재(112)를 갖는다. 다른 실시예에서, 둘 이상의 검사/계측 도구는 하나 이상의 지지 부재(112)를 공유하도록 구성될 수 있다. 예를 들어, 검사/측정 도구는 공유되거나 인접하게 배치된 프로브, 검출기 및/또는 광학 장치를 가질 수 있다. 또한, 하나 이상의 지지 부재(112)는 작동 가능(예를 들어, 트랙을 따라 이동하도록 구성됨)할 수 있거나, 하나 이상의 지지 부재(112)는 제1 검사/측정 도구의 각각의 지지 부재(112)로부터 제2 검사/측정 도구의 각각의 지지 부재(112) 등으로 샘플(114)을 이동시키는 컨베이어를 포함하거나 이 컨베이어에 결합될 수 있다. 다른 실시예에서, 샘플(114)을 픽업하고 이 샘플(114)을 다음 도구로 이동시키기 위해, 샘플(114)은 수동으로 또는 로봇 팔을 사용하여 하나의 검사/계측 도구에서 또 다른 도구로 이동될 수 있다.
실시예에서, 시스템(100)은 시스템(100)의 검사/계측 도구에 통신 가능하게 결합된 제어기(116)를 더 포함한다. 예를 들어, 제어기(116)(또는 복수의 제어기(116))는 SEM(102), 검사 시스템(104)(예를 들어, 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)) 및/또는 광학 계측 시스템(110)에 통신 가능하게 결합될 수 있다. 일부 실시예에서, 제어기(116)는 메모리 매체(120) 상에 유지되는 프로그램 명령어를 실행하도록 구성된 하나 이상의 프로세서(118)를 포함한다. 이와 관련하여, 제어기(116)의 하나 이상의 프로세서(118)는 본 개시 전체에 걸쳐 설명된 다양한 프로세스 단계 또는 동작 중 임의의 것을 실행할 수 있다.
제어기(116)의 하나 이상의 프로세서(118)는 해당 기술에서 공지된 임의의 프로세싱 요소를 포함할 수 있다. 이 점에서, 하나 이상의 프로세서(118)는 알고리즘 및/또는 명령어를 실행하도록 구성된 임의의 마이크로프로세서 유형 디바이스를 포함할 수 있다. 일 실시예에서, 하나 이상의 프로세서(118)는, 본 개시 전체에 걸쳐 설명된 바와 같이, 시스템(100)을 동작하도록 구성된 프로그램을 실행시키도록 구성된, 데스크톱 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 컴퓨터, 또는 임의의 다른 컴퓨터 시스템(예컨대, 네트워킹된 컴퓨터)을 포함할 수 있다. 용어 "프로세서"는 비일시적 메모리 매체(120)로부터의 프로그램 명령어를 실행시키는, 하나 이상의 프로세싱 요소를 갖는 임의의 디바이스를 포괄하도록 광범위하게 정의될 수도 있다고 또한 인정된다.
메모리 매체(120)는, 연관된 하나 이상의 프로세서(118)에 의해 실행 가능한 프로그램 명령어를 저장하기 위해 적절한 종래 기술에서 공지된 임의의 저장 매체를 포함할 수 있다. 예를 들면, 메모리 매체(120)는 비일시적 메모리 매체를 포함할 수 있다. 또 다른 예시에 의해, 메모리 매체(120)는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 메모리 디바이스(예컨대, 디스크), 자기 테이프, 솔리드 스테이트 드라이브 등을 포함할 수 있지만 이것들에만 제한되지는 않는다. 또한, 메모리 매체(120)는 하나 이상의 프로세서(118)와 함께 공통 제어기 하우징에 하우징될 수 있다는 점에 주목한다. 하나의 실시예에서, 메모리 매체(120)는 하나 이상의 프로세서(118) 및 제어기(116)의 물리적 위치와 관련하여 원격에 위치될 수 있다. 예를 들면, 제어기(116)의 하나 이상의 프로세서(118)는 네트워크(예컨대, 인터넷, 인트라넷 등)를 통해 액세스 가능한 원격 메모리(예컨대, 서버)를 액세스할 수 있다. 그에 따라, 위의 설명은 본 발명에 관한 제한으로서가 아니라 단지 예시로서 해석되어야 한다.
실시예에서, 제어기(116)는 SEM(102), 검사 시스템(104)(예를 들어, 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)), 광학 계측 시스템(110) 및/또는 프로세스 도구(122)와 통신하도록 구성된다. 예를 들어, 제어기(116)는 SEM(102), 검사 시스템(104)(예를 들어, 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)), 광학 계측 시스템(110) 및/또는 프로세스 도구(122)로부터 데이터를 수신하거나 데이터를 전송하도록 구성될 수 있다. 제어기(116)는 미가공 데이터, 프로세싱된 데이터(예를 들어, 두께 측정, 표면 균일성/비 균일성 측정 등) 및/또는 부분적으로 프로세싱된 데이터의 임의의 조합을 수신하도록 구성될 수 있다. 예를 들어, 제어기(116)는 검사 데이터, 계측 데이터, 프로세스 도구 데이터 등을 수신하도록 구성될 수 있다.
제어기(116)는 또한, 데이터 및/또는 제어 신호를 SEM(102), 검사 시스템(104)(예를 들어, 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)), 광학 계측 시스템(110) 및/또는 프로세스 도구(122)로 전송하도록 구성될 수 있다. 일부 실시예에서, 제어기(116)는 SEM(102), 검사 시스템(104)(예를 들어, 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)), 광학 계측 시스템(110) 및/또는 프로세스 도구(122)의 하나 이상의 동작 파라미터를 제어하도록 구성될 수 있다. 예를 들어, 제어기(116)는 하나 이상의 조명 파라미터(예를 들어, 조명 강도, 파장, 대역폭, 주파수, 스폿 크기 등), 스캔 패턴, 스캔 속도, 광학 파라미터(예를 들어, 초점, 상대 렌즈 위치, 개구 크기, 필터 배열, 렌즈 배열 등), SEM 파라미터, 프로세스 도구 파라미터(예를 들어, 공간, 타이밍 및/또는 물질 퇴적 파라미터) 등을 제어하도록 구성될 수 있다.
또한, 본 개시 전반에 걸쳐 설명된 단계는 단일 제어기(116) 또는 대안적으로 다수의 제어기에 의해 수행될 수 있다. 추가적으로, 제어기(116)는 공통 하우징 또는 다수의 하우징에 하우징된 하나 이상의 제어기를 포함할 수 있다. 이러한 방식으로, 임의의 제어기 또는 제어기의 조합은 시스템(100) 내로의 통합에 적합한 모듈로서 별도로 패키징될 수 있다. 예를 들어, 제어기(116)는 SEM(102), 검사 시스템(104)(예를 들어, 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)), 광학 계측 시스템(110) 및/또는 프로세스 도구(122)를 위한 중앙 집중식 프로세싱 플랫폼으로서 동작할 수 있고, 수신된 데이터(미가공되고 그리고/또는 부분적으로 프로세싱됨)에 대한 하나 이상의 분석(예를 들면, 측정) 알고리즘을 구현하여, 하나 이상의 샘플 속성 또는 파라미터(예를 들어, 막 높이/두께, 표면 균일성/불균일성 등)를 결정하고 그리고/또는 수집된 데이터에 기초하여 제어 파라미터(예를 들어, 도구 파라미터)를 생성할 수 있다.
실시예에서, 프로세스 도구(122)는 리소그래피 도구, 에칭 도구, 화학-기계적 연마(chemical-mechanical polishing; CMP) 도구, 퇴적 도구(예를 들어, 화학 증기 퇴적(chemical vapor deposition; CVD) 도구, 물리 증기 퇴적(physical vapor deposition; PVD) 도구, 원자 층 퇴적 도구 등), 세정 도구, 도금 도구, 이온 주입 도구 및 열 도구(예를 들어, 급속 열 어닐링 도구) 등을 포함한다. 프로세스 도구(예를 들어, 프로세스 장비)의 일부 다른 예는 미국 특허 제8,284,394호에서 논의된다. 검사/계측 도구의 추가 예는 미국 특허 제8,284,394에서도 논의된다. 미국 특허 제8,284,394호는 그 전체가 참조로 여기에 통합되며, 일부 실시예에서 검사 시스템(104), 광학 계측 시스템(110) 및/또는 프로세스 도구(122)는, 미국 특허 제8,284,394호에 개시된 각각의 검사 시스템, 계측 도구 또는 프로세스 장비 중 임의의 것을 포함할 수 있다.
도 3a 내지 3c는 본 개시 내용의 하나 이상의 실시예에 따른, 진보된 프로세스 제어를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술을 사용하는 방법(300)에서 수행된 단계를 도시한다. 시스템(100)과 관련하여 본 개시에서 앞서 설명된 실시예 및 인에이블링 기술(enabling technologies)이 방법(300)으로 확장되도록 해석되어야 한다. 그러나, 방법(300)은 시스템(100)의 아키텍처로 제한되지 않는다는 것이 추가로 주목된다.
단계(302)에서, 방법(300)은 샘플(114)의 제1 복수의 선택된 관심 영역(regions of interest; ROI)을 수신하는 단계를 포함한다. 실시예에서, 제어기(116)는 샘플(114)의 복수의 선택된 ROI를 수신하도록 구성된다. 예를 들어, 제어기(116)는 하나 이상의 사용자 입력(예를 들어, ROI 및/또는 관심 결함(defect of interest; DOI) 선택)을 수신할 수 있다. 일부 실시예에서, 제어기(116)는 프로세스 도구(122)로부터 ROI 또는 DOI 선택을 수신하도록 구성되고 그리고/또는 하나 이상의 프로세스 도구(122) 출력에 기초하여(예를 들어, 샘플 프로세싱 파라미터 또는 예상되는 샘플 속성에 기초하여) 선택된 ROI를 결정하도록 구성된다.
단계(304)에서, 방법(300)은 SEM(102)을 사용하여 샘플(114)의 제1 복수의 선택된 ROI에서 검사를 수행하는 단계를 포함한다. 실시예에서, SEM(102)은 샘플(114)의 선택된 ROI에서 검사를 수행하도록 구성된다. 예를 들어, SEM(102)은 SEM(102)으로의 하나 이상의 사용자 입력에 기초해 검사를 수행하도록 구성될 수 있거나, 제어기(116)는 SEM(102)으로 하여금 샘플(114)의 선택된 ROI를 검사하게 하는 하나 이상의 제어 신호를 SEM(102)에 전송하도록 구성될 수 있다.
단계(306)에서, 방법(300)은 SEM(102)에 의해 수행된 검사에 기초하여 샘플(114)에 대한 제1 임계 치수 균일성(CDU) 맵을 생성하는 단계를 포함한다. 실시예에서, 제어기(116)는 SEM(102)으로부터 검사 데이터(예를 들어, 샘플 표면 측정, 이미지 데이터, DOI 데이터 등)를 수신하도록 구성된다. 제어기(116)는 검사 데이터에 기초하여 CDU 맵을 생성하도록 구성될 수 있다. 예를 들어, 도 2a는 검사 데이터에 기초하여 제어기(116)에 의해 생성될 수 있는 CDU 맵(200)의 예를 도시한다. CDU 맵(200)은 성공, 실패 또는 불확실한 판독 값을 갖는 것으로 특징지어지는 샘플(114) 부분(예를 들어, 다이)의 패턴 또는 색상 식별을 포함할 수 있다. 예를 들어, CDU 맵(200)에서, 제1 색상(예를 들어, 녹색) 또는 패턴 블록(202)은 성공을 나타낼 수 있고, 제2 색상(예를 들어, 회색) 또는 패턴 블록(204)은 불확실성을 나타낼 수 있으며, 제3 색상(예를 들어, 적색) 또는 패턴 블록(206)은 실패를 나타낼 수 있다.
단계(308)에서, 방법(300)은 제1 CDU에 기초하여 샘플(114)의 제2 복수의 선택된 ROI를 결정하는 단계를 포함한다. 실시예에서, 제어기(116)는 단계(306)에서 생성된 CDU 맵(예를 들어, CDU 맵(200))에 기초하여 추가 검토를 요구하는 선택된 ROI를 결정하도록 구성된다. 예를 들어, 제어기(116)는 불확실한 및/또는 실패한 판독 값(예를 들어, 블록(204) 및(206))을 추가 검토가 필요한 선택된 ROI로 식별하도록 구성될 수 있다.
단계(310)에서, 방법(300)은 샘플(114)의 제2 복수의 선택된 ROI(즉, 추가 검토가 필요한 것으로 식별된 ROI)에서 또 다른 검사 시스템(104)(예를 들어, 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108))을 사용하여 제2 검사를 수행하는 단계를 포함한다. 실시예에서, 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)은 샘플(114)의 제2 복수의 선택된 ROI에서 검사를 수행하도록 구성된다. 예를 들어, 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)은 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)으로의 하나 이상의 사용자 입력에 기초하여 검사를 수행하도록 구성될 수 있거나, 제어기(116)는 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)으로 하여금 샘플(114)의 제2 복수의 선택된 ROI(예를 들어, 제어기(116)에 의해 추가 검토가 필요한 것으로 식별된 ROI)를 검사하게 하는 하나 이상의 제어 신호를 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)에 전송하도록 구성될 수 있다. 일부 실시예에서, SEM(102)은 또한 샘플(114)의 제2 복수의 선택된 ROI에서 검사(예를 들어, 재검사)를 수행하도록 구성된다. 예를 들어, SEM(102)은 SEM(102)으로의 하나 이상의 사용자 입력에 기초해 검사를 수행하도록 구성될 수 있거나, 제어기(116)는 SEM(102)으로 하여금 샘플(114)의 제2 복수의 선택된 ROI(예를 들어, 제어기(116)에 의해 추가 검토가 필요한 것으로 식별된 ROI)를 재검사하게 하는 하나 이상의 제어 신호를 SEM(102)에 전송하도록 구성될 수 있다.
일부 구현에서, 광학 검사 및/또는 전자 빔 검사는 방법(300)에서 전용 단계 일 필요는 없다. 종래의 검사-검토 실행으로부터의 광학 검사 및 SEM 검토(예를 들어, 재검사) 데이터도 사용될 수 있다. 다른 구현에서, 특정 층 또는 DOI의 경우, 광학 검사 및 SEM 검토(예를 들어, 재검사) 단계는 광학 검사 및/또는 SEM 검토 없이 전자 빔 검사로 대체될 수 있다.
단계(312)에서, 방법(300)은 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)에 의해 수행된 검사 및/또는 SEM(102)에 의해 수행된 재검사에 기초하여 샘플(114)에 대한 제2 CDU 맵을 생성하는 단계를 포함한다. 실시예에서, 제어기(116)는 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)으로부터 검사 데이터(예를 들어, 샘플 표면 측정, 이미지 데이터, DOI 데이터 등)를 그리고/또는 SEM(102)으로부터 재검사 데이터를 수신하도록 구성된다. 제어기(116)는 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)으로부터의 검사 데이터 및/또는 SEM(102)으로부터의 재검사 데이터에 기초하여 CDU 맵을 생성하도록 구성될 수 있다. 예를 들어, 도 2b는 광학 검사 시스템(106) 및/또는 전자 빔 검사 시스템(108)으로부터의 검사 데이터 및/또는 SEM(102)으로부터의 재검사 데이터에 기초하여 제어기(116)에 의해 생성될 수 있는 CDU 맵(210)의 예를 도시한다. CDU 맵(210)은 이전에 저장된 판독 값 또는 성공, 실패 또는 불확실한 판독 값을 갖는 것으로 특징지어지는 샘플(114) 부분(예를 들어, 다이)의 패턴 또는 색상 식별을 포함할 수 있다. 예를 들어, CDU 맵(210)에서, 제1 색상(예를 들어, 흰색 또는 공백) 또는 패턴(예를 들어, 채우기 없음) 블록(212)은 이전에 저장된 판독 값을 나타낼 수 있으며, 제2 색상(예를 들어, 녹색) 또는 패턴 블록(214)은 성공을 나타낼 수 있고, 제3 색상(예를 들어, 회색) 또는 패턴 블록(216)은 불확실성을 나타낼 수 있으며, 제4 색상(예를 들어, 적색) 또는 패턴 블록(218)은 실패를 나타낼 수 있다.
일부 구현에서, 방법(300)은 SEM(102)을 사용하여 샘플(114)에 대해 전압 콘트라스트(voltage contrast; VC) 스캔 및/또는 계측 측정을 수행하는 단계(314 내지 322)를 추가로 포함한다. 다른 구현에서, 방법(300)은 단계(312)로부터, 샘플(114)에 대해 광학 계측을 수행하고 APC 피드백 또는 피드포워드 루프에 대한 하나 이상의 프로세스 도구 파라미터를 결정하는 단계(324) 내지(328)로 직접 진행할 수 있다.
단계(314)에서, 방법(300)은 SEM(102)을 사용하여 샘플(114)의 제1 복수의 선택된 ROI에서 VC 스캔을 수행하는 단계를 포함한다. 실시예에서, SEM(102)은 샘플(114)의 선택된 ROI에서 VC 스캔을 수행하도록 구성된다. 예를 들어, SEM(102)은 SEM(102)으로의 하나 이상의 사용자 입력에 기초해 VC 스캔을 수행하도록 구성될 수 있거나, 제어기(116)는 SEM(102)으로 하여금 샘플(114)의 선택된 ROI에 대해 VC 스캔을 수행하게 하는 하나 이상의 제어 신호를 SEM(102)에 전송하도록 구성될 수 있다.
단계(316)에서, 방법(300)은 SEM(102)에 의해 수행된 VC 스캔에 기초하여 샘플(114)에 대한 제3 CDU 맵을 생성하는 단계를 포함한다. 실시예에서, 제어기(116)는 SEM(102)으로부터 VC 스캔 데이터(예를 들어, VC 이미지 데이터 및/또는 측정치)를 수신하도록 구성된다. 제어기(116)는 VC 스캔 데이터에 기초하여 CDU 맵을 생성하도록 구성될 수 있다. 예를 들어, 도 2c는 VC 스캔 데이터에 기초하여 제어기(116)에 의해 생성될 수 있는 CDU 맵(220)의 예를 도시한다. CDU 맵(220)은 성공, 실패 또는 불확실한 판독 값을 갖는 것으로 특징지어지는 샘플(114) 부분(예를 들어, 다이)의 패턴 또는 색상 식별을 포함할 수 있다. 예를 들어, CDU 맵(220)에서, 제1 색상(예를 들어, 녹색) 또는 패턴 블록(222)은 성공을 나타낼 수 있고, 제2 색상(예를 들어, 회색) 또는 패턴 블록(224)은 불확실성을 나타낼 수 있으며, 제3 색상(예를 들어, 적색) 또는 패턴 블록(226)은 실패를 나타낼 수 있다.
단계(318)에서, 방법(300)은 제1 CDU에 기초하여 샘플(114)의 제3 복수의 선택된 ROI를 결정하는 단계를 포함한다. 실시예에서, 제어기(116)는 단계(316)에서 생성된 CDU 맵(예를 들어, CDU 맵(220))에 기초하여 추가 검토를 요구하는 선택된 ROI를 결정하도록 구성된다. 예를 들어, 제어기(116)는 불확실한 및/또는 실패한 판독 값(예를 들어, 블록(224) 및(226))을 추가 검토가 필요한 선택된 ROI로 식별하도록 구성될 수 있다.
단계(320)에서, 방법(300)은 샘플(114)의 제3의 복수의 선택된 ROI(즉, 단계(318)에서 추가 검토가 필요한 것으로 식별된 ROI)에서 SEM(102)을 사용하여 오버레이 측정을 수행하는 단계를 포함한다. 실시예에서, SEM(102)은 샘플(114)의 제3 복수의 선택된 ROI에서 오버레이 측정을 수행하도록 구성된다. 예를 들어, SEM(102)은 SEM(102)으로의 하나 이상의 사용자 입력에 기초해 오버레이 측정을 수행하도록 구성될 수 있거나, 제어기(116)는 SEM(102)으로 하여금 샘플(114)의 제3 복수의 선택된 ROI(예를 들어, 단계(318)에서 추가 검토가 필요한 것으로 제어기(116)에 의해 식별된 ROI)에서 오버레이 측정을 수행하게 하는 하나 이상의 제어 신호를 SEM(102)에 전송하도록 구성될 수 있다. 구현시 SEM 오버레이 측정은 스크라이브 라인의 특정 오버레이 타겟 또는 온-디바이스 구조물(on-device structures)을 사용하여 수행될 수 있다. 또한, 결과는 광학 오버레이 측정과 결합되어 0이 아닌 오프셋(non-zero offsets; NZO)을 평가할 수도 있다.
단계(322)에서, 방법(300)은 SEM(102)에 의해 수행된 오버레이 측정에 기초하여 샘플(114)에 대한 제4 CDU 맵을 생성하는 단계를 포함한다. 실시예에서, 제어기(116)는 SEM(102)으로부터 측정 데이터(예를 들어, 샘플 표면 측정, 층간(layer-to-layer) 및/또는 패턴 정렬 측정, 오버레이 에러 측정 등)를 수신하도록 구성된다. 제어기(116)는 SEM(102)으로부터의 측정 데이터에 기초하여 CDU 맵을 생성하도록 구성될 수 있다. 예를 들어, 도 2d는 SEM(102)으로부터의 측정 데이터에 기초하여 제어기(116)에 의해 생성될 수 있는 CDU 맵(230)의 예를 도시한다. CDU 맵(230)은 이전에 저장된 판독 값 또는 성공, 실패 또는 불확실한 판독 값을 갖는 것으로 특징지어지는 샘플(114) 부분(예를 들어, 다이)의 패턴 또는 색상 식별을 포함할 수 있다. 예를 들어, CDU 맵(230)에서, 제1 색상(예를 들어, 흰색 또는 공백) 또는 패턴(예를 들어, 채우기 없음) 블록(232)은 이전에 저장된 판독 값을 나타낼 수 있으며, 제2 색상(예를 들어, 녹색) 또는 패턴 블록(234)은 성공을 나타낼 수 있고, 제3 색상(예를 들어, 회색) 또는 패턴 블록(236)은 불확실성을 나타낼 수 있으며, 제4 색상(예를 들어, 적색) 또는 패턴 블록(238)은 실패를 나타낼 수 있다.
단계(324)에서, 방법(300)은 광학 계측 시스템(110)을 사용하여 샘플(114)에 대한 오버레이 측정을 수행하는 단계를 포함한다. 실시예에서, 광학 계측 시스템(110)은 샘플(114)의 표면 전체(또는 실질적으로 전체) 또는 샘플(114)의 선택된 ROI에서 오버레이 측정을 수행하도록 구성된다. 예를 들어, 광학 계측 시스템(110)은 광학 계측 시스템(110)으로의 하나 이상의 사용자 입력에 기초하여 오버레이 측정을 수행하도록 구성될 수 있거나, 제어기(116)는, 광학 계측 시스템(110)으로 하여금 샘플(114)의 표면 및/또는 샘플(114)의 표면의 선택 부분(ROI)에 대해 오버레이 측정을 수행하게 하는 하나 이상의 제어 신호를 광학 계측 시스템(110)에 전송하도록 구성될 수 있다.
단계(326)에서, 방법(300)은 SEM(102)에 의해 수행된 오버레이 측정에 기초하여 샘플(114)에 대한 오버레이 벡터 맵을 생성하는 단계를 포함한다. 실시예에서, 제어기(116)는 광학 계측 시스템(110)으로부터 측정 데이터(예를 들어, 샘플 표면 측정, 층간 및/또는 패턴 정렬 측정, 오버레이 에러 측정 등)를 수신하도록 구성된다. 제어기(116)는 광학 계측 시스템(110)으로부터의 측정 데이터에 기초하여 오버레이 벡터 맵을 생성하도록 구성될 수 있다. 예를 들어, 도 2e는 광학 계측 시스템(110)으로부터의 측정 데이터에 기초하여 제어기(116)에 의해 생성될 수 있는 오버레이 벡터 맵(240)의 예를 도시한다.
단계(328)에서, 방법(300)은 CDU 및 오버레이 측정에 적어도 부분적으로 기초하여 프로세스 도구(122)에 대한 하나 이상의 제어 파라미터를 결정하는 단계를 포함한다. 실시예들에서, 제어기는 또한, 단계(306)에서 생성된 CDU 맵(예를 들어, CDU 맵(200))으로부터 유도된 검사 데이터, VC 스캔 데이터, SEM 오버레이 데이터 및/또는 정보, 단계(312)에서 생성된 CDU 맵(예를 들어, CDU 맵(210)), 단계(316)에서 생성된 CDU 맵(예를 들어, CDU 맵(220)), 및/또는 단계(322)에서 생성된 CDU 맵(예를 들어, CDU 맵(230))과 결합해서, 광학 계측 시스템(110)에 의해 샘플(114)에 대해 수행된 오버레이 측정 및/또는 단계(326)에서 생성된 오버레이 벡터 맵(예를 들어, 맵(240))으로부터 유도된 정보에 적어도 부분적으로 기초하여 하나 이상의 프로세스 도구 제어 파라미터들을 결정하도록 구성된다. 일부 실시예에서, 제어기(116)는 또한, 예를 들어, APC 피드백 및/또는 피드포워드 루프를 위해 하나 이상의 프로세스 도구 제어 파라미터를 프로세스 도구(122)로 전송하도록 구성된다.
일부 구현에서, 단계(306), 단계(312) 및/또는 단계(316)와 연관된 데이터는 또한, 피드포워드 APC 모델에 사용된다. 한편, 단계(316, 322 및/또는 326)와 연관된 데이터는 스캐너(예를 들어, 검사/측정 스캐너) 피드백 및/또는 프로세스 도구(122)로의 피드백을 위해 사용될 수 있다.
모든 사용 사례에 모든 단계가 필수는 아니다. 개별 단계와 순서는 검토되는(studied) 층, 프로세스(예를 들어, FEoL(front-end-of-line), MoL(middle-of-line), BEoL(back-end-of-line) 등), DOI 유형 등에 따라 맞춤 제작될 수 있다. 일련의 단계에서 수집된 데이터는 더 느린 단계에서 샘플링 전략을 개선하여 프로세스 제어 처리량을 개선하는 데 도움이 될 것이다. 또한, 상이한 계측 및 검사-검토 단계에 의해 수집된 데이터를 통합하여, 보다 엄격한 프로세스 제어 및 디바이스 변동성 보상을 위해 다수의 피드 포워드 및 피드백 APC 루프가 활성화될 수 있다.
개시된 시스템(100) 및 방법(300)은 더 빠르고 더 나은 프로세스 제어를 위해 차별화된 데이터를 얻기 위해 함께 결합된 계측 및 검사 루틴을 포함한다. 이를 통해 광학 도구와 전자 빔 도구를 스마트하게 결합하여 프로세스 창(process window)의 에지 또는 결함 부품(failing parts)에서 더 미세하고 더 복잡한(involved) 샘플링을 수행할 수 있다. 개시된 시스템(100) 및 방법(300)은 에지 배치 에러 정의를 제시하는 CDU + 오버레이 데이터를, SAxP 및 (LE)n와 같은 고급 패터닝 방식에 유용한 검사(+VC) 데이터와 결합한다. 샘플링 방식과 측정/영역 커버리지의 밀도는 각 단계(광학/전자 빔) 처리량에 의해 결정되므로, 광학/전자 빔 도구의 처리량/감도 타협이 개선된다.
본 개시에 기술된 특허 대상은 때로는 다른 컴포넌트 내에 포함되거나 다른 컴포넌트와 접속되는 상이한 컴포넌트들을 설명한다. 그러한 도시된 아키텍처는 단지 예시적인 것이며 실제로 동일한 기능을 달성하는 많은 다른 아키텍처가 구현될 수 있다는 것을 이해해야 한다. 개념적 의미에서, 동일한 기능을 달성하기 위한 컴포넌트들의 임의의 배열은 원하는 기능이 달성되도록 효과적으로 "연관된다(associated)". 따라서, 본 개시에서 특정 기능을 달성하기 위해 결합된 임의의 2개의 컴포넌트는, 아키텍처 또는 중간 매개 컴포넌트와 관계없이 원하는 기능이 달성되도록 "서로 연관된(associated with)" 것으로 볼 수 있다. 유사하게, 이와 같이 연관된 임의의 2개의 컴포넌트는 또한 원하는 기능을 달성하기 위해 서로 "접속된(connected)" 또는 "결합된(coupled)" 것으로 간주될 수 있고, 그렇게 연관될 수 있는 임의의 2개의 컴포넌트는 또한 원하는 기능을 달성하기 위해 서로 "결합 가능한(couplable)" 것으로 간주될 수 있다. 결합 가능의 특정 예는, 물리적으로 상호 작용 가능하고 그리고/또는 물리적으로 상호 작용하는 컴포넌트, 및/또는 무선으로 상호 작용 가능하고 그리고/또는 무선으로 상호 작용하는 컴포넌트, 및/또는 논리적으로 상호 작용 가능하고 그리고/또는 논리적으로 상호 작용하는 컴포넌트를 포함하지만 이에 제한되지는 않는다.
본 개시 및 그 많은 부수적인 장점들은 전술한 설명에 의해 이해될 것으로 믿어지고, 개시되는 주제로부터 벗어나지 않거나 그 중요한 장점들을 모두 희생하지 않고 컴포넌트의 형태, 구성 및 배열에 있어서 각종 변화가 이루어질 수 있다는 점은 명백할 것이다. 여기에서 설명한 형태는 단지 예를 든 것이고, 첨부되는 청구항들은 그러한 변화들을 망라하고 포함하는 것으로 의도된다. 또한, 본 발명은 첨부된 청구항들에 의해 한정되는 것으로 이해되어야 한다.

Claims (29)

  1. 샘플을 분석하기 위한 시스템에 있어서,
    스캐닝 전자 현미경;
    광학 검사 시스템;
    광학 계측 시스템; 및
    상기 스캐닝 전자 현미경, 상기 광학 검사 시스템 및 상기 광학 계측 시스템에 통신 가능하게 결합된 적어도 하나의 제어기
    를 포함하고, 상기 적어도 하나의 제어기는,
    상기 샘플의 제1 복수의 선택된 관심 영역(regions of interest)을 수신하고;
    상기 제1 복수의 선택된 관심 영역에서 상기 스캐닝 전자 현미경에 의해 수행된 제1 검사에 기초하여 상기 샘플에 대한 제1 임계 치수 균일성 맵(critical dimension uniformity map)을 생성하고;
    상기 제1 임계 치수 균일성 맵에 기초하여 상기 샘플의 제2 복수의 선택된 관심 영역을 결정하고;
    상기 제2 복수의 선택된 관심 영역에서 상기 광학 검사 시스템에 의해 수행된 제2 검사에 기초하여 상기 샘플에 대한 제2 임계 치수 균일성 맵을 생성하며;
    상기 제2 임계 치수 균일성 맵에 그리고 상기 광학 계측 시스템에 의해 상기 샘플에 대해 수행된 오버레이 측정에 적어도 부분적으로 기초하여 하나 이상의 프로세스 도구 제어 파라미터를 결정하도록
    구성되는 것인, 샘플을 분석하기 위한 시스템.
  2. 제1항에 있어서,
    상기 적어도 하나의 제어기는 상기 하나 이상의 프로세스 도구 제어 파라미터를 프로세스 도구로 전송하도록 구성되는 것인, 샘플을 분석하기 위한 시스템.
  3. 제2항에 있어서,
    상기 적어도 하나의 제어기는 상기 프로세스 도구로부터 상기 샘플의 상기 제1 복수의 선택된 관심 영역을 수신하도록 구성되는 것인, 샘플을 분석하기 위한 시스템.
  4. 제2항에 있어서,
    상기 프로세스 도구는 리소그래피 도구를 포함하는 것인, 샘플을 분석하기 위한 시스템.
  5. 제1항에 있어서,
    상기 광학 검사 시스템은 광대역 플라즈마 검사 시스템을 포함하는 것인, 샘플을 분석하기 위한 시스템.
  6. 제1항에 있어서,
    상기 광학 계측 시스템은 광학 오버레이 계측 시스템을 포함하는 것인, 샘플을 분석하기 위한 시스템.
  7. 제1항에 있어서,
    상기 적어도 하나의 제어기는 또한, 상기 광학 계측 시스템에 의해 상기 샘플에 대해 수행된 상기 오버레이 측정에 기초하여 오버레이 벡터 맵을 생성하도록 구성되는 것인, 샘플을 분석하기 위한 시스템.
  8. 제1항에 있어서,
    상기 적어도 하나의 제어기는 또한,
    상기 제1 복수의 선택된 관심 영역에서 상기 스캐닝 전자 현미경에 의해 수행된 전압 콘트라스트 스캔(voltage contrast scan)에 기초하여 상기 샘플에 대한 제3 임계 치수 균일성 맵을 생성하고;
    상기 제3 임계 치수 균일성 맵에 기초하여 상기 샘플의 제3 복수의 선택된 관심 영역을 결정하며;
    상기 제3 복수의 선택된 관심 영역에서 상기 스캐닝 전자 현미경에 의해 상기 샘플에 대해 수행된 오버레이 측정에 기초하여 상기 샘플에 대한 제4 임계 치수 균일성 맵을 생성하도록
    구성되는 것인, 샘플을 분석하기 위한 시스템.
  9. 제8항에 있어서,
    상기 적어도 하나의 제어기는 또한, 상기 제4 임계 치수 균일성 맵에 적어도 부분적으로 기초하여 상기 하나 이상의 프로세스 도구 제어 파라미터를 결정하도록 구성되는 것인, 샘플을 분석하기 위한 시스템.
  10. 샘플을 분석하기 위한 시스템에 있어서,
    스캐닝 전자 현미경;
    전자 빔 검사 시스템;
    광학 계측 시스템; 및
    상기 스캐닝 전자 현미경 및 상기 광학 계측 시스템에 통신 가능하게 결합된 적어도 하나의 제어기
    를 포함하고, 상기 적어도 하나의 제어기는,
    상기 샘플의 제1 복수의 선택된 관심 영역을 수신하고;
    상기 제1 복수의 선택된 관심 영역에서 상기 스캐닝 전자 현미경에 의해 수행된 제1 검사에 기초하여 상기 샘플에 대한 제1 임계 치수 균일성 맵을 생성하고;
    상기 제1 임계 치수 균일성 맵에 기초하여 상기 샘플의 제2 복수의 선택된 관심 영역을 결정하고;
    상기 제2 복수의 선택된 관심 영역에서 상기 전자 빔 검사 시스템에 의해 수행된 제2 검사에 기초하여 상기 샘플에 대한 제2 임계 치수 균일성 맵을 생성하며;
    상기 제2 임계 치수 균일성 맵에 그리고 상기 광학 계측 시스템에 의해 상기 샘플에 대해 수행된 오버레이 측정에 적어도 부분적으로 기초하여 하나 이상의 프로세스 도구 제어 파라미터를 결정하도록
    구성되는 것인, 샘플을 분석하기 위한 시스템.
  11. 제10항에 있어서,
    상기 적어도 하나의 제어기는 상기 하나 이상의 프로세스 도구 제어 파라미터를 프로세스 도구로 전송하도록 구성되는 것인, 샘플을 분석하기 위한 시스템.
  12. 제11항에 있어서,
    상기 적어도 하나의 제어기는 상기 프로세스 도구로부터 상기 샘플의 상기 제1 복수의 선택된 관심 영역을 수신하도록 구성되는 것인, 샘플을 분석하기 위한 시스템.
  13. 제11항에 있어서,
    상기 프로세스 도구는 리소그래피 도구를 포함하는 것인, 샘플을 분석하기 위한 시스템.
  14. 제10항에 있어서,
    상기 광학 계측 시스템은 광학 오버레이 계측 시스템을 포함하는 것인, 샘플을 분석하기 위한 시스템.
  15. 제10항에 있어서,
    상기 적어도 하나의 제어기는 또한, 상기 광학 계측 시스템에 의해 상기 샘플에 대해 수행된 상기 오버레이 측정에 기초하여 오버레이 벡터 맵을 생성하도록 구성되는 것인, 샘플을 분석하기 위한 시스템.
  16. 제10항에 있어서, 상기 적어도 하나의 제어기는 또한,
    상기 제1 복수의 선택된 관심 영역에서 상기 스캐닝 전자 현미경에 의해 수행된 전압 콘트라스트 스캔에 기초하여 상기 샘플에 대한 제3 임계 치수 균일성 맵을 생성하고;
    상기 제3 임계 치수 균일성 맵에 기초하여 상기 샘플의 제3 복수의 선택된 관심 영역을 결정하며;
    상기 제3 복수의 선택된 관심 영역에서 상기 스캐닝 전자 현미경에 의해 상기 샘플에 대해 수행된 오버레이 측정에 기초하여 상기 샘플에 대한 제4 임계 치수 균일성 맵을 생성하도록
    구성되는 것인, 샘플을 분석하기 위한 시스템.
  17. 제16항에 있어서,
    상기 적어도 하나의 제어기는 또한, 상기 제4 임계 치수 균일성 맵에 적어도 부분적으로 기초하여 상기 하나 이상의 프로세스 도구 제어 파라미터를 결정하도록 구성되는 것인, 샘플을 분석하기 위한 시스템.
  18. 샘플을 분석하는 방법에 있어서,
    샘플의 제1 복수의 선택된 관심 영역을 수신하는 단계;
    스캐닝 전자 현미경으로 상기 샘플의 상기 제1 복수의 선택된 관심 영역에서 제1 검사를 수행하는 단계;
    상기 제1 검사에 기초하여 상기 샘플에 대한 제1 임계 치수 균일성 맵을 생성하는 단계;
    상기 제1 임계 치수 균일성 맵에 기초하여 상기 샘플의 제2 복수의 선택된 관심 영역을 결정하는 단계;
    광학 검사 시스템 또는 전자 빔 검사 시스템 중 적어도 하나를 사용하여 상기 샘플의 상기 제2 복수의 선택된 관심 영역에서 제2 검사를 수행하는 단계;
    상기 제2 검사에 기초하여 상기 샘플에 대한 제2 임계 치수 균일성 맵을 생성하는 단계;
    광학 계측 시스템을 사용하여 상기 샘플에 대해 오버레이 측정을 수행하는 단계; 및
    상기 제2 임계 치수 균일성 맵 및 상기 오버레이 측정에 적어도 부분적으로 기초하여 하나 이상의 프로세스 도구 제어 파라미터를 결정하는 단계
    를 포함하는, 샘플을 분석하는 방법.
  19. 제18항에 있어서,
    상기 하나 이상의 프로세스 도구 제어 파라미터를 프로세스 도구로 전송하는 단계를 더 포함하는, 샘플을 분석하는 방법.
  20. 제19항에 있어서,
    상기 샘플의 상기 제1 복수의 선택된 관심 영역은 상기 프로세스 도구로부터 수신되는 것인, 샘플을 분석하는 방법.
  21. 제18항에 있어서,
    상기 광학 계측 시스템에 의해 상기 샘플에 대해 수행된 상기 오버레이 측정에 기초하여 오버레이 벡터 맵을 생성하는 단계를 더 포함하는, 샘플을 분석하는 방법.
  22. 제18항에 있어서,
    상기 스캐닝 전자 현미경으로 상기 샘플의 상기 제1 복수의 선택된 관심 영역에서 전압 콘트라스트 스캔를 수행하는 단계;
    상기 전압 콘트라스트 스캔에 기초해 상기 샘플에 대한 제3 임계 치수 균일성 맵을 생성하는 단계;
    상기 제3 임계 치수 균일성 맵에 기초하여 상기 샘플의 제3 복수의 선택된 관심 영역을 결정하는 단계;
    상기 스캐닝 전자 현미경을 사용해 상기 샘플의 상기 제3 복수의 선택된 관심 영역에서 오버레이 측정을 수행하는 단계; 및
    상기 스캐닝 전자 현미경에 의해 수행된 상기 오버레이 측정에 기초해 상기 샘플에 대한 제4 임계 치수 균일성 맵을 생성하는 단계
    를 더 포함하는, 샘플을 분석하는 방법.
  23. 제22항에 있어서,
    상기 제4 임계 치수 균일성 맵에 적어도 부분적으로 기초하여 상기 하나 이상의 프로세스 도구 제어 파라미터를 결정하는 단계를 더 포함하는, 샘플을 분석하는 방법.
  24. 샘플 분석 시스템을 위한 제어기에 있어서,
    적어도 하나의 프로세서; 및
    상기 적어도 하나의 프로세서에 통신 가능하게 결합된 적어도 하나의 메모리 매체
    를 포함하고, 상기 적어도 하나의 메모리 매체는, 상기 적어도 하나의 프로세서에 의해 실행 가능한 프로그램 명령어를 포함하고, 상기 프로세서로 하여금,
    샘플의 제1 복수의 선택된 관심 영역을 수신하고;
    상기 제1 복수의 선택된 관심 영역에서 스캐닝 전자 현미경에 의해 수행된 제1 검사에 기초하여 상기 샘플에 대한 제1 임계 치수 균일성 맵을 생성하고;
    상기 제1 임계 치수 균일성 맵에 기초하여 상기 샘플의 제2 복수의 선택된 관심 영역을 결정하고;
    광학 검사 시스템 또는 전자 빔 검사 시스템 중 적어도 하나에 의해 상기 제2 복수의 선택된 관심 영역에서 수행된 제2 검사에 기초하여 상기 샘플에 대한 제2 임계 치수 균일성 맵을 생성하며;
    상기 제2 임계 치수 균일성 맵에 그리고 광학 계측 시스템에 의해 상기 샘플에 대해 수행된 오버레이 측정에 적어도 부분적으로 기초하여 하나 이상의 프로세스 도구 제어 파라미터를 결정하게 하도록
    구성되는 것인, 샘플 분석 시스템을 위한 제어기.
  25. 제24항에 있어서,
    상기 프로그램 명령어는 또한, 상기 프로세서로 하여금,
    상기 하나 이상의 프로세스 도구 제어 파라미터를 프로세스 도구로 전송하게 하도록 구성되는 것인, 샘플 분석 시스템을 위한 제어기.
  26. 제25항에 있어서, 상기 프로그램 명령어는 또한, 상기 프로세서로 하여금,
    상기 프로세스 도구로부터 상기 샘플의 상기 제1 복수의 선택된 관심 영역을 수신하게 하도록 구성되는 것인, 샘플 분석 시스템을 위한 제어기.
  27. 제24항에 있어서, 상기 프로그램 명령어는 또한, 상기 프로세서로 하여금,
    상기 광학 계측 시스템에 의해 상기 샘플에 대해 수행된 상기 오버레이 측정에 기초해 오버레이 벡터 맵을 생성하게 하도록 구성되는 것인, 샘플 분석 시스템을 위한 제어기.
  28. 제24항에 있어서, 상기 프로그램 명령어는 또한, 상기 프로세서로 하여금,
    상기 제1 복수의 선택된 관심 영역에서 상기 스캐닝 전자 현미경에 의해 수행된 전압 콘트라스트 스캔에 기초하여 상기 샘플에 대한 제3 임계 치수 균일성 맵을 생성하고;
    상기 제3 임계 치수 균일성 맵에 기초하여 상기 샘플의 제3 복수의 선택된 관심 영역을 결정하며;
    상기 제3 복수의 선택된 관심 영역에서 상기 스캐닝 전자 현미경에 의해 상기 샘플에 대해 수행된 오버레이 측정에 기초하여 상기 샘플에 대한 제4 임계 치수 균일성 맵을 생성하게 하도록
    구성되는 것인, 샘플 분석 시스템을 위한 제어기.
  29. 제28항에 있어서, 상기 프로그램 명령어는 또한, 상기 프로세서로 하여금,
    상기 제4 임계 치수 균일성 맵에 적어도 부분적으로 기초하여 상기 하나 이상의 프로세스 도구 제어 파라미터를 결정하게 하도록 구성되는 것인, 샘플 분석 시스템을 위한 제어기.
KR1020217000776A 2018-06-11 2019-06-07 고급 프로세스 제어를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술 KR102517585B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
IN201841021710 2018-06-11
IN201841021710 2018-06-11
IN201841023172 2018-06-21
IN201841023172 2018-06-21
US16/103,386 2018-08-14
US16/103,386 US10359706B1 (en) 2018-06-11 2018-08-14 Integrated scanning electron microscopy and optical analysis techniques for advanced process control
PCT/US2019/035962 WO2019241054A1 (en) 2018-06-11 2019-06-07 Integrated scanning electron microscopy and optical analysis techniques for advanced process control

Publications (2)

Publication Number Publication Date
KR20210008144A true KR20210008144A (ko) 2021-01-20
KR102517585B1 KR102517585B1 (ko) 2023-04-03

Family

ID=67300545

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217000776A KR102517585B1 (ko) 2018-06-11 2019-06-07 고급 프로세스 제어를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술

Country Status (6)

Country Link
US (1) US10359706B1 (ko)
KR (1) KR102517585B1 (ko)
CN (1) CN112313786B (ko)
IL (1) IL279177B2 (ko)
TW (1) TWI782210B (ko)
WO (1) WO2019241054A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112859530B (zh) * 2019-11-28 2023-08-29 长鑫存储技术有限公司 晶圆曝光修正方法及系统与存储介质
CN111863653B (zh) * 2020-07-31 2021-07-16 长江存储科技有限责任公司 晶圆缺陷的检测方法、检测系统和计算机可读存储介质
TWI749687B (zh) * 2020-08-05 2021-12-11 力晶積成電子製造股份有限公司 電壓對比缺陷的分析方法及分析系統

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6388253B1 (en) * 1999-06-29 2002-05-14 Applied Materials, Inc. Integrated critical dimension control for semiconductor device manufacturing
JP2007149055A (ja) * 2005-05-19 2007-06-14 Nano Geometry Kenkyusho:Kk パターン検査装置および方法
US8422010B2 (en) * 2006-02-09 2013-04-16 Kla-Tencor Technologies Corp. Methods and systems for determining a characteristic of a wafer
US8611639B2 (en) * 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
US20150213172A1 (en) * 2014-01-27 2015-07-30 Macronix International Co., Ltd. Method for measuring and analyzing surface structure of chip or wafer
US20170235233A1 (en) * 2016-02-17 2017-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for layoutless overlay control

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102645443A (zh) * 2012-03-28 2012-08-22 中国科学院上海微系统与信息技术研究所 一种光伏探测材料缺陷与器件性能关联性的系统表征方法
CN103904003B (zh) * 2012-12-24 2016-08-31 中芯国际集成电路制造(上海)有限公司 一种混合反馈式先进过程控制系统
US10410338B2 (en) * 2013-11-04 2019-09-10 Kla-Tencor Corporation Method and system for correlating optical images with scanning electron microscopy images
US10267746B2 (en) * 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US10615084B2 (en) * 2016-03-01 2020-04-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter, associated with a change in a physical configuration, using measured pixel optical characteristic values

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6388253B1 (en) * 1999-06-29 2002-05-14 Applied Materials, Inc. Integrated critical dimension control for semiconductor device manufacturing
JP2007149055A (ja) * 2005-05-19 2007-06-14 Nano Geometry Kenkyusho:Kk パターン検査装置および方法
US8422010B2 (en) * 2006-02-09 2013-04-16 Kla-Tencor Technologies Corp. Methods and systems for determining a characteristic of a wafer
US8611639B2 (en) * 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
US20150213172A1 (en) * 2014-01-27 2015-07-30 Macronix International Co., Ltd. Method for measuring and analyzing surface structure of chip or wafer
US20170235233A1 (en) * 2016-02-17 2017-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for layoutless overlay control

Also Published As

Publication number Publication date
KR102517585B1 (ko) 2023-04-03
TW202013082A (zh) 2020-04-01
CN112313786A (zh) 2021-02-02
IL279177B1 (en) 2023-03-01
WO2019241054A1 (en) 2019-12-19
CN112313786B (zh) 2022-06-03
IL279177B2 (en) 2023-07-01
TWI782210B (zh) 2022-11-01
US10359706B1 (en) 2019-07-23
IL279177A (en) 2021-01-31

Similar Documents

Publication Publication Date Title
US9767548B2 (en) Outlier detection on pattern of interest image populations
US9170209B1 (en) Inspection guided overlay metrology
US9576861B2 (en) Method and system for universal target based inspection and metrology
US9816940B2 (en) Wafer inspection with focus volumetric method
TWI807100B (zh) 透過生成對抗網路之超解析度缺陷視察影像生成
KR102517585B1 (ko) 고급 프로세스 제어를 위한 통합된 스캐닝 전자 현미경법 및 광학 분석 기술
US9110039B2 (en) Auto-focus system and methods for die-to-die inspection
CN109923654B (zh) 通过跨层图像相减的晶片噪声减少
TWI769361B (zh) 使用電子束檢測及具有即時情報之深度學習以減少損害的缺陷探索
JP6472447B2 (ja) フォトマスク欠陥性における変化の監視
WO2004097903A2 (en) Single tool defect classification solution
US10964016B2 (en) Combining simulation and optical microscopy to determine inspection mode
KR20180137574A (ko) 대량의 패턴 서칭을 위한 검사와 디자인 간의 드리프트의 자동 보정 시스템 및 방법
TWI750368B (zh) 光學檢驗結果之計量導引檢驗樣品成形
KR102201122B1 (ko) 민감도 개선 및 뉴슨스 억제를 위해 로직 및 핫스팟 검사에서 z-층 컨텍스트를 사용하는 시스템 및 방법
KR20230031849A (ko) 중첩 구조물 상의 후방산란 전자를 모델링하여 오버레이를 측정하는 타겟 및 알고리즘
KR102502814B1 (ko) 디자인 정렬 개선을 위한 타겟 선택 개선
TW202141210A (zh) 使用檢測工具以判定用於樣本之類計量(metrology-like)之資訊

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant