CN112313786B - 集成式扫描电子显微镜及用于先进工艺控制的光学分析技术 - Google Patents

集成式扫描电子显微镜及用于先进工艺控制的光学分析技术 Download PDF

Info

Publication number
CN112313786B
CN112313786B CN201980041917.7A CN201980041917A CN112313786B CN 112313786 B CN112313786 B CN 112313786B CN 201980041917 A CN201980041917 A CN 201980041917A CN 112313786 B CN112313786 B CN 112313786B
Authority
CN
China
Prior art keywords
sample
interest
critical dimension
selected regions
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980041917.7A
Other languages
English (en)
Other versions
CN112313786A (zh
Inventor
H·P·西瑞曼
S·米纳科什孙达拉姆
A·罗布
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN112313786A publication Critical patent/CN112313786A/zh
Application granted granted Critical
Publication of CN112313786B publication Critical patent/CN112313786B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

一种样品分析系统包含扫描电子显微镜、光学及/或电子束检验系统及光学计量系统。所述系统进一步包含至少一个控制器。所述控制器经配置以:接收所述样品的第一多个选定关注区域;基于由所述扫描电子显微镜对所述第一选定关注区域所执行的第一检验来产生第一临界尺寸均匀性图;基于所述第一临界尺寸均匀性图来确定第二多个选定关注区域;基于由所述光学及/或电子束检验系统对所述第二选定关注区域所执行的第二检验来产生第二临界尺寸均匀性图;及基于检验结果及由所述光学计量系统对所述样品执行的覆盖测量来确定一或多个工艺工具控制参数。

Description

集成式扫描电子显微镜及用于先进工艺控制的光学分析技术
相关申请案的交叉参考
本申请案主张名叫哈里·帕坦吉(Hari Pathangi)、西瓦普拉萨特·米纳克什桑达拉姆(Sivaprrasath Meenakshisundaram)及阿伦·洛博(Arun Lobo)的发明者在2018年6月11日申请的名称为“集成式的基于扫描电子显微镜的计量、检验及重检以及用于先进工艺控制(APC)的光学方法(INTEGRATED SEM-BASED METROLOGY,INSPECTION AND REVIEWWITH OPTICAL METHODS FOR ADVANCED PROCESS CONTROL(APC))”的第201841021710号印度临时专利申请案的优先权。本申请案还主张名叫哈里·帕坦吉·斯里拉曼(HariPathangi Sriraman)、西瓦普拉萨特·米纳克什桑达拉姆(SivaprrasathMeenakshisundaram)及阿伦·洛博(Arun Lobo)的发明者在2018年6月21日申请的名称为“集成式扫描电子显微镜及用于先进工艺控制的光学分析技术(INTEGRATED SCANNINGELECTRON MICROSCOPY AND OPTICAL ANAYLSIS TECHNIQUES FOR ADVANCED PROCESSCONTROL)”的第201841023172号印度临时专利申请案的优先权。第201841021710号印度临时专利申请案及第201841023172号印度临时专利申请案的全文以引用的方式并入本文中。
技术领域
本发明大体上涉及用于样品分析的系统及方法,且更特定来说,本发明涉及采用集成式扫描电子显微镜及用于先进工艺控制的光学分析技术的系统及方法。
背景技术
光刻/干法蚀刻工艺通常产生跨衬底(例如晶片)的临界尺寸(CD)或特征大小不均匀性。能够特征化此不均匀性对半导体制造的工艺控制来说至关重要,因为其转化成晶片良率及最终装置性能。存在可用于分析样品(例如晶片或其它衬底)以确定用于先进工艺控制(APC)环路的反馈或前馈数据的各种计量及/或检验工具。SEM工具在任何检验或计量应用中提供良好灵敏度,但SEM工具往往比光学工具慢得多。因此,需要改进基于SEM的检验及计量系统。
发明内容
根据本发明的一或多个说明性实施例,揭示一种采用集成式扫描电子显微镜及用于先进工艺控制(APC)的光学分析技术的样品分析系统。在一个说明性实施例中,所述系统包含扫描电子显微镜(SEM)、光学检验系统及光学计量系统。在实施例中,所述系统进一步包含通信耦合到所述SEM、所述光学检验系统及所述光学计量系统的至少一个控制器。在实施例中,所述控制器经配置以接收样品的第一多个选定关注区域且基于由所述SEM对所述第一多个选定关注区域所执行的第一检验来产生所述样品的第一临界尺寸均匀性图。在实施例中,所述控制器经进一步配置以基于所述第一临界尺寸均匀性图来确定所述样品的第二多个选定关注区域且基于由所述光学检验系统对所述第二多个选定关注区域所执行的第二检验来产生所述样品的第二临界尺寸均匀性图。在实施例中,所述控制器经进一步配置以至少部分基于所述第二临界尺寸均匀性图及由所述光学计量系统对所述样品执行的覆盖测量来确定一或多个工艺工具控制参数。
在另一说明性实施例中,所述系统包含SEM、电子束(eBeam)检验系统及光学计量系统。在实施例中,所述系统进一步包含通信耦合到所述SEM、所述电子束检验系统及所述光学计量系统的至少一个控制器。在实施例中,所述控制器经配置以接收所述样品的第一多个选定关注区域且基于由所述SEM对所述第一多个选定关注区域所执行的第一检验来产生所述样品的第一临界尺寸均匀性图。在实施例中,所述控制器经进一步配置以基于所述第一临界尺寸均匀性图来确定所述样品的第二多个选定关注区域且基于由所述电子束检验系统对所述第二多个选定关注区域所执行的第二检验来产生所述样品的第二临界尺寸均匀性图。在实施例中,所述控制器经进一步配置以至少部分基于所述第二临界尺寸均匀性图及由所述光学计量系统对所述样品执行的覆盖测量来确定一或多个工艺工具控制参数。
根据本发明的一或多个说明性实施例,也揭示一种采用集成式扫描电子显微镜及用于APC的光学分析技术的方法。在所述方法的一个说明性实施方案中,接收样品的第一多个选定关注区域(例如,基于用户输入、APC工具输出或类似者)。使用SEM对所述样品的所述第一多个选定关注区域执行第一检验,且基于所述第一检验来产生所述样品的第一临界尺寸均匀性图。可基于所述第一临界尺寸均匀性图来确定所述样品的第二多个选定关注区域。在实施方案中,使用光学检验系统及/或电子束检验系统对所述样品的所述第二多个选定关注区域执行第二检验,且基于所述第二检验来产生所述样品的第二临界尺寸均匀性图。在实施方案中,也使用光学计量系统来对所述样品执行覆盖测量。接着,可至少部分基于所述第二临界尺寸均匀性图及所述覆盖测量来确定一或多个工艺工具控制参数。
应了解,以上一般描述及以下详细描述两者仅供示范及解释且未必限制本发明。并入本说明书中且构成本说明书的部分的附图说明本发明的实施例且与一般描述一起用于解释本发明的原理。
附图说明
所属领域的技术人员可通过参考附图来更好地理解本发明的若干优点,其中:
图1是根据本发明的一或多个实施例的采用集成式扫描电子显微镜及用于先进工艺控制的光学分析技术的系统的示意图;
图2A是根据本发明的一或多个实施例的基于由扫描电子显微镜(SEM)对多个选定关注区域所执行的检验的临界尺寸均匀性(CDU)图的实例;
图2B是根据本发明的一或多个实施例的基于由光学检验系统或电子束检验系统对多个选定关注区域所执行的检验的CDU图的实例,其中基于图2A的CDU图来确定多个选定关注区域;
图2C是根据本发明的一或多个实施例的基于由SEM对多个选定关注区域所执行的电压对比(VC)扫描的CDU图的实例;
图2D是根据本发明的一或多个实施例的基于由SEM对多个选定关注区域所执行的覆盖测量的CDU图的实例,其中基于图2C的CDU图来确定多个选定关注区域;
图2E是根据本发明的一或多个实施例的基于由光学计量系统执行的覆盖测量的向量图的实例;
图3A是说明根据一或多个实施方案的采用集成式扫描电子显微镜及用于先进工艺控制的光学分析技术的方法的流程图的部分;
图3B是说明根据一或多个实施方案的采用集成式扫描电子显微镜及用于先进工艺控制的光学分析技术的方法的流程图的部分;及
图3C是说明根据一或多个实施方案的采用集成式扫描电子显微镜及用于先进工艺控制的光学分析技术的方法的流程图的部分。
具体实施方式
现将详细参考附图中所说明的揭示标的物。已相对于特定实施例及其特定特征来特别展示及描述本发明。本文中所阐述的实施例应被视为说明性而非限制性。所属领域的技术人员应易于明白,可在不背离本发明的精神及范围的情况下对形式及细节作出各种改变及修改。
特征化CD或覆盖误差变动或缺陷密度对半导体制造的工艺控制来说可为至关重要的,因为其转化成晶片良率及最终装置性能。存在可用于分析样品(例如晶片或其它衬底)以确定用于先进工艺控制(APC)环路的反馈或前馈数据的各种计量及/或检验工具。光学工具具有相对较快处理能力的优点,但空间分辨率滞后。另一方面,扫描电子显微镜(SEM)通常具有比光学工具好的灵敏度,但往往慢很多。
揭示组合光学及SEM平台的个别优点的系统及方法。可利用这些系统及方法来实现改进数据集,其可用于晶片厂中的前馈及反馈作业以用于选定处理能力约束下的装置可变性补偿。随着技术节点越来越小、图案及集成解决方案越来越复杂,基于SEM的技术需要更多共享检验-重检及计量测试。本发明描述将使用基于SEM的平台所产生的各种类型的工艺控制数据与由一或多个光学工具(例如光学检验及/或计量工具)收集的工艺控制数据集成以提出适合于APC反馈及/或前馈环路的数据的系统及方法。
根据本发明的一或多个说明性实施例,揭示采用集成式扫描电子显微镜及用于APC的光学分析技术的样品分析系统100。图1说明系统100的实例实施例。系统100可用于分析样品114(例如晶片、板、面板、光罩或任何其它衬底)的至少一个表面。在实施例中,系统包含:SEM 102(例如科磊(KLA-Tencor)公司的eDR 7xxx重检工具系列、具有集成式或脱机CD测量能力的eSLxx检验工具系列或类似者);检验系统104,其包括光学检验系统106(例如宽带等离子检验系统、激光持续等离子检验系统、科磊公司的宽带等离子KLA2xxx或3xxx系列(例如2925、3905...)系列检验系统或类似者)及/或电子束(eBeam)检验系统108(例如科磊公司的eSLxx系列电子束晶片缺陷检验平台或类似者);及光学计量系统110(例如光学覆盖计量系统、科磊公司的ARCHER系列覆盖计量系统或类似者)。如本文中进一步所描述,系统100可经配置以实施分析过程(例如方法300),其利用这些检验/计量工具(即,SEM 102、检验系统104及光学计量系统110)中的每一者的独立能力来改进APC反馈及/或前馈环路的灵敏度及处理能力。
样品114由一或多个支撑部件112支撑。例如,一或多个支撑部件112可包含一或多个样品台、卡盘或类似者。在一些实施例中,检验/计量工具(例如SEM 102、检验系统104、光学计量系统110)中的每一者具有经配置以在由检验/计量工具分析样品114时支撑样品114的一或多个支撑部件112。在其它实施例中,两个或更多个检验/计量工具可经配置以共享一或多个支撑部件112。例如,检验/计量工具可具有共享或相邻安置的探针、检测器及/或光学器件。此外,一或多个支撑部件112可为可致动的(例如,经配置以沿轨道移动),或一或多个支撑部件112可包含输送机或可与输送机耦合,所述输送机使样品114从第一检验/计量工具的相应支撑部件112移动到第二检验/计量工具的相应支撑部件112,等等。在其它实施例中,样品114可手动或使用拾取样品114且将其移动到下一工具的机械臂来从检验/计量工具移动到另一检验/计量工具。
在实施例中,系统100进一步包含通信耦合到系统100的检验/计量工具的控制器116。例如,控制器116(一或多个控制器116)可通信耦合到SEM 102、检验系统104(例如光学检验系统106及/或电子束检验系统108)及/或光学计量系统110。在一些实施例中,控制器116包含经配置以执行保存于存储器媒体120上的程序指令的一或多个处理器118。就此来说,控制器116的一或多个处理器118可执行本发明中所描述的各种过程步骤或操作中的任一者。
控制器116的一或多个处理器118可包含本技术中已知的任何处理元件。就此来说,一或多个处理器118可包含经配置以执行算法及/或指令的任何微处理器型装置。在一个实施例中,一或多个处理器118可包括台式计算机、大型计算机系统、工作站、图像计算机、平行处理器或经配置以执行程序(其经配置以操作本发明中所描述的系统100)的任何其它计算机系统(例如网络计算机)。应进一步认识到,术语“处理器”可被广义界定为涵盖具有一或多个处理元件的任何装置,所述一或多个处理元件执行来自非暂时性存储器媒体120的程序指令。
存储器媒体120可包含本技术中已知的任何存储媒体,其适合于存储可由相关联的一或多个处理器118执行的程序指令。例如,存储器媒体120可包含非暂时性存储器媒体。举另一实例来说,存储器媒体120可包含(但不限于)只读存储器、随机存取存储器、磁性或光学存储器装置(例如磁盘)、磁带、固态驱动器及类似者。应进一步注意,存储器媒体120可与一或多个处理器118一起容置于共同控制器外壳中。在一个实施例中,存储器媒体120可相对于一或多个处理器118及控制器116的物理位置远程定位。例如,控制器116的一或多个处理器118可存取可通过网络(例如因特网、内联网及类似者)存取的远程存储器(例如服务器)。因此,以上描述不应被解释为本发明的限制,而是仅为说明。
在实施例中,控制器116经配置以与SEM 102、检验系统104(例如光学检验系统106及/或电子束检验系统108)、光学计量系统110及/或工艺工具122通信。例如,控制器116可经配置以从SEM 102、检验系统104(例如光学检验系统106及/或电子束检验系统108)、光学计量系统110及/或工艺工具122接收数据或将数据发送到SEM 102、检验系统104(例如光学检验系统106及/或电子束检验系统108)、光学计量系统110及/或工艺工具122。控制器116可经配置以接收原始数据、经处理数据(例如厚度测量、表面均匀性/不均匀性测量及类似者)及/或经部分处理数据的任何组合。例如,控制器116可经配置以接收检验数据、计量数据、工艺工具数据及类似者。
控制器116可经进一步配置以将数据及/或控制信号发送到SEM 102、检验系统104(例如光学检验系统106及/或电子束检验系统108)、光学计量系统110及/或工艺工具122。在一些实施例中,控制器116可经配置以控制SEM 102、检验系统104(例如光学检验系统106及/或电子束检验系统108)、光学计量系统110及/或工艺工具122的一或多个操作参数。例如,控制器116可经配置以控制一或多个照明参数(例如照明强度、波长、带宽、频率、光点大小等等)、扫描图案、扫描速度、光学参数(例如焦点、相对透镜位置、孔隙大小、滤波器布置、透镜布置等等)、SEM参数、工艺工具参数(例如空间、时序及/或材料沉积参数)等等。
此外,本发明中所描述的步骤可由单个控制器116或替代地多个控制器实施。另外,控制器116可包含容置于共同外壳中或多个外壳内的一或多个控制器。以此方式,任何控制器或控制器组合可单独封装为适合于集成到系统100中的模块。例如,控制器116可充当SEM 102、检验系统104(例如光学检验系统106及/或电子束检验系统108)、光学计量系统110及/或工艺工具122的集中处理平台且可对所接收数据(原始及/或经部分处理)实施一或多个分析(例如测量)算法以确定一或多个样品属性或参数(例如膜高度/厚度、表面均匀性/不均匀性等等)及/或基于所收集的数据来产生控制参数(例如工具参数)。
在实施例中,工艺工具122包括光刻工具、蚀刻工具、化学机械抛光(CMP)工具、沉积工具(例如化学气相沉积(CVD)工具、物理气相沉积(PVD)工具、原子层沉积工具等等)、清洁工具、电镀工具、离子植入工具及热工具(例如快速热退火工具)或类似者。第8,284,394号美国专利中论述工艺工具(例如工艺设备)的一些其它实例。第8,284,394号美国专利中也论述检验/计量工具的额外实例。美国专利第8,284,394号的全文以引用的方式并入本文中,且应注意,在一些实施例中,检验系统104、光学计量系统110及/或工艺工具122可包括第8,284,394号美国专利中所揭示的相应检验系统、计量工具或工艺设备中的任一者。
图3A到3C说明根据本发明的一或多个实施例的采用集成式扫描电子显微镜及用于先进工艺控制的光学分析技术的方法300中所执行的步骤。本文先前在系统100的上下文中所描述的实施例及启用技术应被解释为扩展到方法300。但是,应进一步注意,方法300不受限于系统100的架构。
在步骤302中,方法300包含接收样品114的第一多个选定关注区域(ROI)。在实施例中,控制器116经配置以接收样品114的多个选定ROI。例如,控制器116可接收一或多个用户输入(例如ROI及/或关注缺陷(DOI)选择)。在一些实施例中,控制器116经配置以从工艺工具122接收ROI或DOI选择及/或经配置以基于一或多个工艺工具122输出(例如,基于样品处理参数或预期样品属性)来确定选定ROI。
在步骤304中,方法300包含使用SEM 102对样品114的第一多个选定ROI执行检验。在实施例中,SEM 102经配置以对样品114的选定ROI执行检验。例如,SEM 102可经配置以基于SEM 102的一或多个用户输入来执行检验,或控制器116可经配置以将一或多个控制信号发送到SEM 102以使SEM 102检验样品114的选定ROI。
在步骤306中,方法300包含基于由SEM 102执行的检验来产生样品114的第一临界尺寸均匀性(CDU)图。在实施例中,控制器116经配置以从SEM 102接收检验数据(例如样品表面测量、图像数据、DOI数据及类似者)。控制器116可经配置以基于检验数据来产生CDU图。例如,图2A展示可由控制器116基于检验数据所产生的CDU图200的实例。CDU图200可包含特征化为具有成功、失败或不确定读数的样品114部分(例如裸片)的图案或色彩识别。例如,在CDU图200中,第一色彩(例如绿色)或图案块202可指示成功,第二色彩(例如灰色)或图案块204可指示不确定性,且第三色彩(例如红色)或图案块206可指示失败。
在步骤308中,方法300包含基于第一CDU来确定样品114的第二多个选定ROI。在实施例中,控制器116经配置以基于步骤306中所产生的CDU图(例如CDU图200)来确定需要进一步重检的选定ROI。例如,控制器116可经配置以识别不确定及/或失败读数(例如块204及206)作为需要进一步重检的选定ROI。
在步骤310中,方法300包含使用另一检验系统104(例如光学检验系统106及/或电子束检验系统108)对样品114的第二多个选定ROI(即,识别为需要进一步重检的ROI)执行第二检验。在实施例中,光学检验系统106及/或电子束检验系统108经配置以对样品114的第二多个选定ROI执行检验。例如,光学检验系统106及/或电子束检验系统108可经配置以基于光学检验系统106及/或电子束检验系统108的一或多个用户输入来执行检验,或控制器116可经配置以将一或多个控制信号发送到光学检验系统106及/或电子束检验系统108以使光学检验系统106及/或电子束检验系统108检验样品114的第二多个选定ROI(例如由控制器116识别为需要进一步重检的ROI)。在一些实施例中,SEM 102也经配置以对样品114的第二多个选定ROI执行检验(例如重检)。例如,SEM102可经配置以基于SEM 102的一或多个用户输入来执行检验,或控制器116可经配置以将一或多个控制信号发送到SEM 102以使SEM 102重检样品114的第二多个选定ROI(例如由控制器116识别为需要进一步重检的ROI)。
在一些实施方案中,光学检验及/或电子束检验无需为方法300中的专用步骤。也可使用来自常规检验-重检作业的光学检验及SEM重检(例如复验)数据。在其它实施方案中,对于特定层或DOI,光学检验及SEM重检(例如复验)步骤可由电子束检验替换以无需光学检验及/或SEM重检。
在步骤312中,方法300包含基于由光学检验系统106及/或电子束检验系统108执行的检验及/或由SEM 102执行的重检来产生样品114的第二CDU图。在实施例中,控制器116经配置以从光学检验系统106及/或电子束检验系统108接收检验数据(例如样品表面测量、图像数据、DOI数据及类似者)及/或从SEM 102接收重检数据。控制器116可经配置以基于来自光学检验系统106及/或电子束检验系统108的检验数据及/或来自SEM 102的重检数据来产生CDU图。例如,图2B展示可由控制器116基于来自光学检验系统106及/或电子束检验系统108的检验数据及/或来自SEM 102的重检数据来产生的CDU图210的实例。CDU图210可包含特征化为具有先前存储的读数或成功、失败或不确定读数的样品114部分(例如裸片)的图案或色彩识别。例如,在CDU图210中,第一色彩(例如白色或空白)或图案(例如无填充)块212可指示先前存储的读数,第二色彩(例如绿色)或图案块214可指示成功,第三色彩(例如灰色)或图案块216可指示不确定性,且第四色彩(例如红色)或图案块218可指示失败。
在一些实施方案中,方法300进一步包含使用SEM 102对样品114执行电压对比(VC)扫描及/或计量测量的步骤314到322。在其它实施方案中,方法300可从步骤312直接进行到对样品114执行光学计量且确定用于APC反馈或前馈环路的一或多个工艺工具参数的步骤324到328。
在步骤314中,方法300包含使用SEM 102对样品114的第一多个选定ROI执行VC扫描。在实施例中,SEM 102经配置以对样品114的选定ROI执行VC扫描。例如,SEM 102可经配置以基于SEM 102的一或多个用户输入来执行VC扫描,或控制器116可经配置以将一或多个控制信号发送到SEM 102以使SEM 102对样品114的选定ROI执行VC扫描。
在步骤316中,方法300包含基于由SEM 102执行的VC扫描来产生样品114的第三CDU图。在实施例中,控制器116经配置以从SEM 102接收VC扫描数据(例如VC图像数据及/或测量)。控制器116可经配置以基于VC扫描数据来产生CDU图。例如,图2C展示可由控制器116基于VC扫描数据来产生的CDU图220的实例。CDU图220可包含特征化为具有成功、失败或不确定读数的样品114部分(例如裸片)的图案或色彩识别。例如,在CDU图220中,第一色彩(例如绿色)或图案块222可指示成功,第二色彩(例如灰色)或图案块224可指示不确定性,且第三色彩(例如红色)或图案块226可指示失败。
在步骤318中,方法300包含基于第一CDU来确定样品114的第三多个选定ROI。在实施例中,控制器116经配置以基于步骤316中所产生的CDU图(例如CDU图220)来确定需要进一步重检的选定ROI。例如,控制器116可经配置以识别不确定及/或失败读数(例如块224及226)作为需要进一步重检的选定ROI。
在步骤320中,方法300包含使用SEM 102对样品114的第三多个选定ROI(即,在步骤318中识别为需要进一步重检的ROI)执行覆盖测量。在实施例中,SEM 102经配置以对样品114的第三多个选定ROI执行覆盖测量。例如,SEM 102可经配置以基于SEM 102的一或多个用户输入来执行覆盖测量,或控制器116可经配置以将一或多个控制信号发送到SEM 102以使SEM 102对样品114的第三多个选定ROI(例如,在步骤318中由控制器116识别为需要进一步重检的ROI)执行覆盖测量。在实施方案中,可使用划线中的特定覆盖目标或使用装置上结构来执行SEM覆盖测量。此外,结果也可与光学覆盖测量组合以评估非零偏移(NZO)。
在步骤322中,方法300包含基于由SEM 102执行的覆盖测量来产生样品114的第四CDU图。在实施例中,控制器116经配置以从SEM 102接收测量数据(例如样品表面测量、层与层及/或图案对准测量、覆盖误差测量及类似者)。控制器116可经配置以基于来自SEM 102的测量数据来产生CDU图。例如,图2D展示可由控制器116基于来自SEM 102的测量数据来产生的CDU图230的实例。CDU图230可包含特征化为先前存储的读数或成功、失败或不确定读数的样品114部分(例如裸片)的图案或色彩识别。例如,在CDU图230中,第一色彩(例如白色或空白)或图案(例如无填充)块232可指示先前存储的读数,第二色彩(例如绿色)或图案块234可指示成功,第三色彩(例如灰色)或图案块236可指示不确定性,且第四色彩(例如红色)或图案块238可指示失败。
在步骤324中,方法300包含使用光学计量系统110对样品114执行覆盖测量。在实施例中,光学计量系统110经配置以对样品114的整个(或大体上整个)表面或样品114的选定ROI执行覆盖测量。例如,光学计量系统110可经配置以基于光学计量系统110的一或多个用户输入来执行覆盖测量,或控制器116可经配置以将一或多个控制信号发送到光学计量系统110以使光学计量系统110对样品114的表面及/或样品114的表面的选择部分(ROI)执行覆盖测量。
在步骤326中,方法300包含基于由SEM 102执行的覆盖测量来产生样品114的覆盖向量图。在实施例中,控制器116经配置以从光学计量系统110接收测量数据(例如样品表面测量、层与层及/或图案对准测量、覆盖误差测量及类似者)。控制器116可经配置以基于来自光学计量系统110的测量数据来产生覆盖向量图。例如,图2E展示可由控制器116基于来自光学计量系统110的测量数据来产生的覆盖向量图240的实例。
在步骤328中,方法300包含至少部分基于CDU及覆盖测量来确定工艺工具122的一或多个控制参数。在实施例中,控制器116经进一步配置以至少部分基于由光学计量系统110对样品114所执行的覆盖测量及/或从步骤326中所产生的覆盖向量图(例如图240)导出的信息与检验数据、VC扫描数据、SEM覆盖数据及/或从步骤306中所产生的CDU图(例如CDU图200)、步骤312中所产生的CDU图(例如CDU图210)、步骤316中所产生的CDU图(例如CDU图220)及/或步骤322中所产生的CDU图(例如CDU图230)导出的信息的组合来确定一或多个工艺工具控制参数。在一些实施例中,控制器116经进一步配置以将一或多个工艺工具控制参数传输到工艺工具122以(例如)用于APC反馈及/或前馈环路。
在一些实施方案中,与步骤306、步骤312及/或步骤316相关联的数据也用于前馈APC模型。同时,与步骤316、322及/或326相关联的数据可用于扫描仪(例如检验/计量扫描仪)反馈及/或工艺工具122的反馈。
每个使用案例不必具有所有步骤。个别步骤及顺序可根据所研究的层、工艺(例如前段工艺(FEoL)、中间工艺(MoL)、后段工艺(BEoL)等等)、DOI类型等等来定制。通过一系列步骤所收集的数据将有助于通过改进以较慢步进的取样策略来提高工艺控制处理能力。此外,通过集成通过不同计量及检验-重检步骤所收集的数据,可启用大量前馈及反馈APC环路用于更严格工艺控制及装置可变性补偿。
所揭示的系统100及方法300包含组合在一起以得到用于较快及较佳工艺控制的差异化数据的计量及检验例程。这可允许通过巧妙组合光学工具与电子束工具来更精细且更专心地取样过程窗口的边缘或失效部分。所揭示的系统100及方法300组合呈现边缘放置错误定义的CDU+覆盖数据与用于如SAxP及(LE)n的先进图案化方案的检验(+VC)数据。取样方案及测量密度/区域覆盖由相应步骤(光学/电子束)的处理能力确定以借此得到光学/电子束工具的改进处理能力/灵敏度折衷。
本文中所描述的标的物有时说明含于其它组件内或与其它组件连接的不同组件。应了解,这些所描绘的架构仅供示范,且实际上可实施实现相同功能的许多其它架构。就概念来说,实现相同功能的任何组件布置经有效“相关联”以实现所要功能。因此,本文中经组合以实现特定功能的任何两个组件可被视为彼此“相关联”以实现所要功能,不管架构或中间组件如何。同样地,如此相关联的任何两个组件也可被视为彼此“连接”或“耦合”以实现所要功能,且能够如此相关联的任何两个组件也可被视为彼此“可耦合”以实现所要功能。可耦合的特定实例包含(但不限于)可物理交互及/或物理交互组件及/或可无线交互及/或无线交互组件及/或可逻辑交互及/或逻辑交互组件。
据信,将通过前述描述来理解本发明及其许多伴随优点,且将明白,可在不背离所揭示标的物或不牺牲其所有材料优点的情况下对组件的形式、构造及布置作出各种改变。所描述的形式仅供解释,且所附权利要求书意在涵盖及包含这些改变。此外,应了解,本发明由权利要求书界定。

Claims (29)

1.一种用于分析样品的系统,其包括:
扫描电子显微镜;
光学检验系统;
光学计量系统;及
至少一个控制器,其通信耦合到所述扫描电子显微镜、所述光学检验系统及所述光学计量系统,所述至少一个控制器经配置以:
接收所述样品的第一多个选定关注区域;
基于由所述扫描电子显微镜对所述第一多个选定关注区域所执行的第一检验来产生所述样品的第一临界尺寸均匀性图;
基于所述第一临界尺寸均匀性图来确定所述样品的第二多个选定关注区域;
基于由所述光学检验系统对所述第二多个选定关注区域所执行的第二检验来产生所述样品的第二临界尺寸均匀性图;及
至少部分基于所述第二临界尺寸均匀性图及由所述光学计量系统对所述样品所执行的覆盖测量来确定一或多个工艺工具控制参数。
2.根据权利要求1所述的系统,其中所述至少一个控制器经配置以将所述一或多个工艺工具控制参数传输到工艺工具。
3.根据权利要求2所述的系统,其中所述至少一个控制器经配置以从所述工艺工具接收所述样品的所述第一多个选定关注区域。
4.根据权利要求2所述的系统,其中所述工艺工具包括光刻工具。
5.根据权利要求1所述的系统,其中所述光学检验系统包括宽带等离子检验系统。
6.根据权利要求1所述的系统,其中所述光学计量系统包括光学覆盖计量系统。
7.根据权利要求1所述的系统,其中所述至少一个控制器经进一步配置以基于由所述光学计量系统对所述样品所执行的所述覆盖测量来产生覆盖向量图。
8.根据权利要求1所述的系统,其中所述至少一个控制器经进一步配置以:
基于由所述扫描电子显微镜对所述第一多个选定关注区域所执行的电压对比扫描来产生所述样品的第三临界尺寸均匀性图;
基于所述第三临界尺寸均匀性图来确定所述样品的第三多个选定关注区域;及
基于在所述第三多个选定关注区域处由所述扫描电子显微镜对所述样品所执行的覆盖测量来产生所述样品的第四临界尺寸均匀性图。
9.根据权利要求8所述的系统,其中所述至少一个控制器经进一步配置以至少部分基于所述第四临界尺寸均匀性图来确定所述一或多个工艺工具控制参数。
10.一种用于分析样品的系统,其包括:
扫描电子显微镜;
电子束检验系统;
光学计量系统;及
至少一个控制器,其通信耦合到所述扫描电子显微镜及所述光学计量系统,所述至少一个控制器经配置以:
接收所述样品的第一多个选定关注区域;
基于由所述扫描电子显微镜对所述第一多个选定关注区域所执行的第一检验来产生所述样品的第一临界尺寸均匀性图;
基于所述第一临界尺寸均匀性图来确定所述样品的第二多个选定关注区域;
基于由所述电子束检验系统对所述第二多个选定关注区域所执行的第二检验来产生所述样品的第二临界尺寸均匀性图;及
至少部分基于所述第二临界尺寸均匀性图及由所述光学计量系统对所述样品所执行的覆盖测量来确定一或多个工艺工具控制参数。
11.根据权利要求10所述的系统,其中所述至少一个控制器经配置以将所述一或多个工艺工具控制参数传输到工艺工具。
12.根据权利要求11所述的系统,其中所述至少一个控制器经配置以从所述工艺工具接收所述样品的所述第一多个选定关注区域。
13.根据权利要求11所述的系统,其中所述工艺工具包括光刻工具。
14.根据权利要求10所述的系统,其中所述光学计量系统包括光学覆盖计量系统。
15.根据权利要求10所述的系统,其中所述至少一个控制器经进一步配置以基于由所述光学计量系统对所述样品所执行的所述覆盖测量来产生覆盖向量图。
16.根据权利要求10所述的系统,其中所述至少一个控制器经进一步配置以:
基于由所述扫描电子显微镜对所述第一多个选定关注区域所执行的电压对比扫描来产生所述样品的第三临界尺寸均匀性图;
基于所述第三临界尺寸均匀性图来确定所述样品的第三多个选定关注区域;及
基于在所述第三多个选定关注区域处由所述扫描电子显微镜对所述样品所执行的覆盖测量来产生所述样品的第四临界尺寸均匀性图。
17.根据权利要求16所述的系统,其中所述至少一个控制器经进一步配置以至少部分基于所述第四临界尺寸均匀性图来确定所述一或多个工艺工具控制参数。
18.一种分析样品的方法,其包括:
接收样品的第一多个选定关注区域;
使用扫描电子显微镜对所述样品的所述第一多个选定关注区域执行第一检验;
基于所述第一检验来产生所述样品的第一临界尺寸均匀性图;
基于所述第一临界尺寸均匀性图来确定所述样品的第二多个选定关注区域;
使用光学检验系统或电子束检验系统中的至少一者对所述样品的所述第二多个选定关注区域执行第二检验;
基于所述第二检验来产生所述样品的第二临界尺寸均匀性图;
使用光学计量系统对所述样品执行覆盖测量;及
至少部分基于所述第二临界尺寸均匀性图及所述覆盖测量来确定一或多个工艺工具控制参数。
19.根据权利要求18所述的方法,其进一步包括:
将所述一或多个工艺工具控制参数传输到工艺工具。
20.根据权利要求19所述的方法,其中从所述工艺工具接收所述样品的所述第一多个选定关注区域。
21.根据权利要求18所述的方法,其进一步包括:
基于由所述光学计量系统对所述样品所执行的所述覆盖测量来产生覆盖向量图。
22.根据权利要求18所述的方法,其进一步包括:
使用所述扫描电子显微镜对所述样品的所述第一多个选定关注区域执行电压对比扫描;
基于所述电压对比扫描来产生所述样品的第三临界尺寸均匀性图;
基于所述第三临界尺寸均匀性图来确定所述样品的第三多个选定关注区域;
使用所述扫描电子显微镜对所述样品的所述第三多个选定关注区域执行覆盖测量;及
基于由所述扫描电子显微镜所执行的所述覆盖测量来产生所述样品的第四临界尺寸均匀性图。
23.根据权利要求22所述的方法,其进一步包括:
至少部分基于所述第四临界尺寸均匀性图来确定所述一或多个工艺工具控制参数。
24.一种用于样品分析系统的控制器,其包括:
至少一个处理器;及
至少一个存储器媒体,其通信耦合到所述至少一个处理器,所述至少一个存储器媒体包含程序指令,所述程序指令可由所述至少一个处理器执行且经配置以使所述处理器:
接收样品的第一多个选定关注区域;
基于由扫描电子显微镜对所述第一多个选定关注区域所执行的第一检验来产生所述样品的第一临界尺寸均匀性图;
基于所述第一临界尺寸均匀性图来确定所述样品的第二多个选定关注区域;
基于由光学检验系统或电子束检验系统中的至少一者对所述第二多个选定关注区域所执行的第二检验来产生所述样品的第二临界尺寸均匀性图;及
至少部分基于所述第二临界尺寸均匀性图及由光学计量系统对所述样品所执行的覆盖测量来确定一或多个工艺工具控制参数。
25.根据权利要求24所述的控制器,其中所述程序指令经进一步配置以使所述处理器:
将所述一或多个工艺工具控制参数传输到工艺工具。
26.根据权利要求25所述的控制器,其中所述程序指令经进一步配置以使所述处理器:
从所述工艺工具接收所述样品的所述第一多个选定关注区域。
27.根据权利要求24所述的控制器,其中所述程序指令经进一步配置以使所述处理器:
基于由所述光学计量系统对所述样品所执行的所述覆盖测量来产生覆盖向量图。
28.根据权利要求24所述的控制器,其中所述程序指令经进一步配置以使所述处理器:
基于由所述扫描电子显微镜对所述第一多个选定关注区域所执行的电压对比扫描来产生所述样品的第三临界尺寸均匀性图;
基于所述第三临界尺寸均匀性图来确定所述样品的第三多个选定关注区域;及
基于在所述第三多个选定关注区域处由所述扫描电子显微镜对所述样品所执行的覆盖测量来产生所述样品的第四临界尺寸均匀性图。
29.根据权利要求28所述的控制器,其中所述程序指令经进一步配置以使所述处理器:
至少部分基于所述第四临界尺寸均匀性图来确定所述一或多个工艺工具控制参数。
CN201980041917.7A 2018-06-11 2019-06-07 集成式扫描电子显微镜及用于先进工艺控制的光学分析技术 Active CN112313786B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
IN201841021710 2018-06-11
IN201841021710 2018-06-11
IN201841023172 2018-06-21
IN201841023172 2018-06-21
US16/103,386 US10359706B1 (en) 2018-06-11 2018-08-14 Integrated scanning electron microscopy and optical analysis techniques for advanced process control
US16/103,386 2018-08-14
PCT/US2019/035962 WO2019241054A1 (en) 2018-06-11 2019-06-07 Integrated scanning electron microscopy and optical analysis techniques for advanced process control

Publications (2)

Publication Number Publication Date
CN112313786A CN112313786A (zh) 2021-02-02
CN112313786B true CN112313786B (zh) 2022-06-03

Family

ID=67300545

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980041917.7A Active CN112313786B (zh) 2018-06-11 2019-06-07 集成式扫描电子显微镜及用于先进工艺控制的光学分析技术

Country Status (6)

Country Link
US (1) US10359706B1 (zh)
KR (1) KR102517585B1 (zh)
CN (1) CN112313786B (zh)
IL (1) IL279177B2 (zh)
TW (1) TWI782210B (zh)
WO (1) WO2019241054A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112859530B (zh) * 2019-11-28 2023-08-29 长鑫存储技术有限公司 晶圆曝光修正方法及系统与存储介质
CN111863653B (zh) * 2020-07-31 2021-07-16 长江存储科技有限责任公司 晶圆缺陷的检测方法、检测系统和计算机可读存储介质
TWI749687B (zh) 2020-08-05 2021-12-11 力晶積成電子製造股份有限公司 電壓對比缺陷的分析方法及分析系統

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102645443A (zh) * 2012-03-28 2012-08-22 中国科学院上海微系统与信息技术研究所 一种光伏探测材料缺陷与器件性能关联性的系统表征方法
CN103904003A (zh) * 2012-12-24 2014-07-02 中芯国际集成电路制造(上海)有限公司 一种混合反馈式先进过程控制系统
TW201522945A (zh) * 2013-11-04 2015-06-16 Kla Tencor Corp 用於使光學圖像與掃描電子顯微鏡圖像相關聯之方法及系統
CN106796724A (zh) * 2014-10-22 2017-05-31 科磊股份有限公司 自动化图案保真度测量计划产生

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100702741B1 (ko) * 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
JP4787673B2 (ja) 2005-05-19 2011-10-05 株式会社Ngr パターン検査装置および方法
SG170805A1 (en) 2006-02-09 2011-05-30 Kla Tencor Tech Corp Methods and systems for determining a characteristic of a wafer
US8611639B2 (en) 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
US9589086B2 (en) * 2014-01-27 2017-03-07 Macronix International Co., Ltd. Method for measuring and analyzing surface structure of chip or wafer
US10061211B2 (en) * 2016-02-17 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for layoutless overlay control
US10546790B2 (en) * 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102645443A (zh) * 2012-03-28 2012-08-22 中国科学院上海微系统与信息技术研究所 一种光伏探测材料缺陷与器件性能关联性的系统表征方法
CN103904003A (zh) * 2012-12-24 2014-07-02 中芯国际集成电路制造(上海)有限公司 一种混合反馈式先进过程控制系统
TW201522945A (zh) * 2013-11-04 2015-06-16 Kla Tencor Corp 用於使光學圖像與掃描電子顯微鏡圖像相關聯之方法及系統
CN106796724A (zh) * 2014-10-22 2017-05-31 科磊股份有限公司 自动化图案保真度测量计划产生

Also Published As

Publication number Publication date
TWI782210B (zh) 2022-11-01
CN112313786A (zh) 2021-02-02
IL279177B2 (en) 2023-07-01
WO2019241054A1 (en) 2019-12-19
IL279177A (en) 2021-01-31
US10359706B1 (en) 2019-07-23
KR102517585B1 (ko) 2023-04-03
IL279177B1 (en) 2023-03-01
KR20210008144A (ko) 2021-01-20
TW202013082A (zh) 2020-04-01

Similar Documents

Publication Publication Date Title
EP3762780B1 (en) Metrology and control of overlay and edge placement errors
US9767548B2 (en) Outlier detection on pattern of interest image populations
CN112740021B (zh) 通过生成对抗网络的超分辨率缺陷重检图像生成
CN112313786B (zh) 集成式扫描电子显微镜及用于先进工艺控制的光学分析技术
US9110039B2 (en) Auto-focus system and methods for die-to-die inspection
CN111819596B (zh) 组合模拟及光学显微术以确定检验模式的方法和系统
TWI769361B (zh) 使用電子束檢測及具有即時情報之深度學習以減少損害的缺陷探索
WO2004097903A2 (en) Single tool defect classification solution
KR20180137574A (ko) 대량의 패턴 서칭을 위한 검사와 디자인 간의 드리프트의 자동 보정 시스템 및 방법
TWI750368B (zh) 光學檢驗結果之計量導引檢驗樣品成形
KR102201122B1 (ko) 민감도 개선 및 뉴슨스 억제를 위해 로직 및 핫스팟 검사에서 z-층 컨텍스트를 사용하는 시스템 및 방법
US20210398261A1 (en) Design-to-wafer image correlation by combining information from multiple collection channels
TW202141210A (zh) 使用檢測工具以判定用於樣本之類計量(metrology-like)之資訊
CN115769255A (zh) 扫描电子显微镜图像锚定阵列的设计
TW202011121A (zh) 用於較佳設計對準之目標選擇改良
US20240068967A1 (en) Noise diagnostics for an electron beam inspection system with swathing
US20240221141A1 (en) Pattern segmentation for nuisance suppression
JP2022526994A (ja) Z高さの絶対値を利用したツール間の相乗効果
KR20220131852A (ko) 반도체 시편의 검사를 위한 이미지 생성

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant