KR20200028489A - TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법 - Google Patents

TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법 Download PDF

Info

Publication number
KR20200028489A
KR20200028489A KR1020207006349A KR20207006349A KR20200028489A KR 20200028489 A KR20200028489 A KR 20200028489A KR 1020207006349 A KR1020207006349 A KR 1020207006349A KR 20207006349 A KR20207006349 A KR 20207006349A KR 20200028489 A KR20200028489 A KR 20200028489A
Authority
KR
South Korea
Prior art keywords
etch
alp
substrate
plasma
features
Prior art date
Application number
KR1020207006349A
Other languages
English (en)
Inventor
시앙 조우
톰 에이. 캠프
요시에 기무라
두밍 장
첸 슈
존 드류
알렉스 패터슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020247021435A priority Critical patent/KR20240104224A/ko
Publication of KR20200028489A publication Critical patent/KR20200028489A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판을 에칭하기 위한 방법이 플라즈마 챔버에서, 플라즈마 에칭 프로세스를 사용하여 기판 재료의 제 1 에칭을 수행하는 단계를 포함한다. 제 1 에칭은 재료의 제 1 깊이로 피처들을 형성한다. 제 1 에칭에 이어서, 방법은 챔버로부터 기판의 제거 없이, 플라즈마 챔버에서 마스크 및 제 1 에칭 동안 형성된 피처들 위에 컨포멀한 패시베이션 막을 증착하기 위해 ALP 프로세스를 수행하는 단계를 포함한다. ALP 프로세스는 피처들 및 마스크 위에 패시베이션을 형성하기 위해 액체 전구체로부터 증기를 사용한다. 방법은 플라즈마 챔버에서 플라즈마 에칭 프로세스를 사용하여 재료의 제 2 에칭을 수행하는 단계를 더 포함한다. 컨포멀한 패시베이션 막은 제 2 에칭 동안 마스크 및 피처들의 측벽들을 보호하도록 구성된다. 플라즈마 프로세싱 시스템이 또한 기술된다.

Description

TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법
반도체 제조에서, 고 종횡비 플라즈마 에칭을 위해 예를 들어, 플래시들 및 에칭 부산물 재증착의 형태의 플라즈마-기반 패시베이션 (passivation) 이 측벽 프로파일을 유지하고 디바이스 영역 내로 측방향 에칭을 피하기 위해 사용되어 왔다. 이들 플라즈마-기반 패시베이션 기법들은 분리된 피처와 조밀한 피처 사이에 로딩을 발생시키는 종횡비 종속적일 뿐만 아니라 상이한 재료들 사이에 로딩을 발생시키는 재료 종속적이다. 플라즈마-기반 패시베이션, 예를 들어 O2 플래시는 또한 산화를 통해 타겟 피처 상의 재료를 소비하고, 따라서 CD (Critical Dimension : 임계 치수) 의 손실을 유발한다.
현재 반도체 제조 프로세스들에서, 에칭 및 ALD (Atomic Layer Deposition) 프로세스들이 개별적인 플랫폼들에서 수행된다. 일 챔버에서 또 다른 챔버로 웨이퍼들을 이송하는 것은 진공 파괴를 수반하고, 원치 않는 입자들이 웨이퍼들과 콘택트하게 될 가능성을 상승시키기 때문에 문제가 될 수 있다. 또한, 통상적으로 희석된 HF 산 세정인 세정 프로세스는, 마스크에 영향을 주고 따라서 성능에 부정적인 영향을 준다. 개별적인 챔버들의 사용은 또한 쓰루풋 (throughput) 에 부정적인 영향을 준다.
이 맥락에서 본 실시예들이 발생한다.
예시적인 실시예에서, 플라즈마 챔버에서 기판을 에칭하기 위한 방법은 하단 전극 위 플라즈마 챔버에서 기판을 수용하는 단계를 포함한다. 기판은 에칭될 피처들의 위치들을 규정하기 위해 기판 위에 제공되는 마스크와 함께 피처들을 형성하기 위한 에칭될 재료를 갖는다. 방법은 플라즈마 챔버에서, 플라즈마 에칭 프로세스를 사용하여 재료의 제 1 에칭을 수행하는 단계를 더 포함한다. 제 1 에칭은 재료의 제 1 깊이로 피처들을 형성하기 위해 플라즈마 에칭 가스들을 사용한다. 제 1 에칭에 이어서, 방법은 플라즈마 챔버에서, 마스크 및 제 1 에칭 동안 형성된 재료의 피처들 위에 컨포멀한 패시베이션 막을 증착하기 위해 ALP (Atomic Layer Passivation) 프로세스를 수행하는 단계를 포함한다. ALP 프로세스는 (a) 마스크 및 피처들 위에 상당한 양의 전구체를 코팅하기 위해 플라즈마 챔버 내로 액체 전구체의 증기를 도입하는 단계, (b) 컨포멀한 패시베이션 층의 원자 단층을 형성하기 위해 상당한 양의 전구체를 경화하는 단계, 및 (c) 타겟 두께를 갖는 컨포멀한 패시베이션 막이 플라즈마 챔버로부터 기판의 제거 없이 형성될 때까지 단계 (a) 의 액체 전구체의 증기의 도입 및 단계 (b) 의 상당한 양의 전구체의 경화를 반복하는 단계를 포함한다. ALP 프로세스에 이어서, 방법은 플라즈마 챔버에서, 플라즈마 에칭 프로세스를 사용하여 재료의 제 2 에칭을 수행하는 단계를 더 포함한다. 제 2 에칭은 제 2 에칭 동안 마스크 및 피처들의 측벽들을 보호하도록 구성되는 컨포멀한 패시베이션 막과 함께 재료의 제 2 깊이로 피처들을 형성하기 위해 플라즈마 에칭 가스들을 사용한다.
일 실시예에서, 컨포멀한 패시베이션 막은 a) 최소 임계 치수 손실, 또는 b) 상이한 재료들 간 그리고 상이한 종횡비들 간 최소 임계 치수 로딩, 또는 c) 상이한 재료들 간 그리고 상이한 종횡비들 간 최소 프로파일 로딩 중 하나와 함께 제 2 깊이로 피처들의 에칭을 가능하게 하도록 구성된다.
일 실시예에서, 액체 전구체의 증기는 액체 전달 시스템을 사용하여 플라즈마 챔버 내로 도입되고, 플라즈마 에칭 가스들은 에칭 가스 전달 시스템을 사용하여 플라즈마 챔버 내로 도입된다. 액체 전달 시스템 및 에칭 가스 전달 시스템은 재료의 제 1 에칭 및 제 2 에칭 동안 플라즈마 에칭 가스들을 도입하고, ALP 프로세스 동안 액체 전구체의 증기를 도입하기 위해 제어기에 의해 제어된 매니폴드들에 커플링된다.
일 실시예에서, ALP 프로세스 동안, 상당한 양의 전구체를 경화하는 단계는 플라즈마 플래시 프로세스를 수행하기 위해 산소 가스와 함께 플라즈마 챔버의 전극들에 무선 주파수 (RF) 전력을 인가하는 단계를 포함한다. 플라즈마 플래시 프로세스는 약 0.5 초 내지 약 4 초인 시간의 기간 동안 프로세싱될 수 있고, RF 전력은 약 200 W 내지 약 3,000 W인 전력 레벨로 인가될 수 있다.
일 실시예에서, ALP 프로세스 동안, 단계 (a) 및 단계 (b) 를 수행한 후 플라즈마 챔버의 퍼지가 수행되고, 단계 (a) 및 단계 (b) 가 반복될 때마다 ALP 사이클이 완료된다. 일 실시예에서, ALP 사이클 각각은 단일 원자 단층을 생성하고, 특정한 타겟 두께를 갖는 컨포멀한 막이 특정한 횟수의 ALP 사이클들을 수행함으로써 수행될 수 있다. 일 실시예에서, APL 프로세스에서, APL 프로세스 동안, 단계 (a) 및 단계 (b) 횟수가 반복될 때마다, 컨포멀한 패시베이션 막의 단일 원자 단층이 형성되고, 그리고 컨포멀한 패시베이션 막의 두께는 단일 원자 단층 또는 복수의 원자 단층들에 의해 규정된다.
일 실시예에서, 방법은 제 2 에칭 후 하나 이상의 부가적인 에칭들을 수행하는 단계를 더 포함하고, 부가적인 에칭 각각이 수행되기 전, ALP 프로세스는 각각의 컨포멀한 패시베이션 막을 형성하기 위해 수행된다. 컨포멀한 패시베이션 막은 컨포멀한 패시베이션 막의 타겟 두께에 도달하기 위해 단계 (a) 및 단계 (b) 를 다수의 횟수들로 반복함으로써 구획된다. 일 실시예에서, 컨포멀한 패시베이션 막은 피처들의 측벽들의 어떠한 상당한 양의 재료도 소비하지 않고, 피처들의 측벽들 및 마스크 위에 증착된다. 일 실시예에서, 에칭될 재료는 실리콘, 실리콘 게르마늄, 또는 게르마늄을 포함하고, 컨포멀한 패시베이션 막은 피처들의 측벽들의 어떠한 상당한 양의 재료도 소비하지 않으며 상이한 재료들 위에 실질적으로 동일한 품질의 패시베이션을 형성한다.
다른 예시적인 실시예에서, 플라즈마 프로세싱 시스템은 프로세싱 영역을 포함하는 챔버를 포함하고, 하단 전극이 프로세싱 영역 아래 챔버 내에 배치되고, 유전체 윈도우가 프로세싱 영역 위 및 하단 전극 위에 배치된다. 코일이 프로세싱 영역에 RF 전력을 제공하기 위해 유전체 윈도우 위에 배치된다. 플라즈마 프로세싱 시스템은 또한 하단 전극 위에 배치될 때 피처들을 형성하기 위해 기판의 재료의 제 1 에칭을 수행하기 위해 사용된 하나 이상의 가스 소스들에 커플링된 에칭 가스 전달 시스템을 포함한다. 에칭 가스 전달 시스템은 매니폴드들에 커플링된 출력부를 갖는다. 플라즈마 프로세싱 시스템은 또한 액체 전구체의 소스, 액체 전구체의 소스에 커플링된 액체 플로우 제어기, 및 액체 플로우 제어기에 커플링된 증기발생기를 포함한다. 액체 전달 시스템은 제어기에 의해 제어되는 매니폴드들과 함께 매니폴드들에 커플링된 출력부를 갖는다. 제어기는 제 1 에칭을 수행하기 위해 에칭 가스 전달 시스템을 활성화하도록 구성되고, 컨포멀한 패시베이션 막으로 제 1 에칭 동안 형성된 피처들을 코팅하기 위해 제 1 에칭 후 ALP 프로세스를 수행하도록 적어도 액체 전달 시스템을 활성화하도록 구성된다. ALP 프로세스는 1 회 이상으로 완료되며 매 회 컨포멀한 패시베이션 막의 단일 원자 단층이 형성될 수 있다. 제어기는 또한 피처들의 제 2 에칭을 수행하기 위해 에칭 가스 전달 시스템을 활성화하도록 구성되고, 제 2 에칭 동안 피처들의 마스크 및 측벽들을 보호하도록 구성된다.
일 실시예에서, 컨포멀한 패시베이션 막은 a) 최소 임계 치수 손실, 또는 b) 상이한 재료들 간 그리고 상이한 종횡비들 간 최소 임계 치수 로딩, 또는 c) 상이한 재료들 간 그리고 상이한 종횡비들 간 최소 프로파일 로딩 중 하나와 함께 제 2 깊이로 피처들의 에칭을 가능하게 하도록 구성된다.
일 실시예에서, ALP 프로세스 동안, 제어기는 액체 플로우 제어기 및 증기발생기를 활성화하고, 그리고 이후 증기발생기에 의해 생성되고 피처들 위에 도포된 상당한 전구체를 경화하기 위해 코일에 RF 전력을 활성화하고, RF 전력은 산소 가스와 함께 활성화된다.
일 실시예에서, 챔버는 ALP 프로세스가 완료될 때마다 제어기에 의해 활성화되는 진공 시스템을 포함한다. 일 실시예에서, 바이어스 전력은 제 1 에칭 및 제 2 에칭 동안, 그리고 증기발생기에 의해 생성되고 피처들에 도포된 다수의 전구체들의 경화 동안 하단 전극에 커플링된다. 바이어스 전력은 제 1 에칭, 제 2 에칭, 및 ALP 프로세스를 프로세싱하기 위해 제어기에 커플링된다.
일 실시예에서, 주입기가 유전체 윈도우에 배치된다. 주입기는 챔버로 매니폴드들의 출력부들을 커플링하는 경로를 제공한다. 일 실시예에서, 하나 이상의 측면 주입기들이 챔버의 측벽들에 배치되고, 하나 이상의 측면 주입기들은 매니폴드들의 출력부들로의 하나 이상의 경로들에 커플링된다. 일 실시예에서, 매니폴드들은 제 1 에칭 및 제 2 에칭 동안 제공된 가스들과 ALP 프로세스 동안 제공된 증기 사이에서 전환하기 위한 복수의 밸브들을 포함한다.
일 실시예에서, 제 1 에칭, 제 2 에칭, 및 ALP 프로세스는 제 2 에칭 동안 피처들의 측벽들을 보호하는 컨포멀한 패시베이션 막을 형성하기 위해 챔버로부터 기판의 제거 없이 챔버 내부에서 수행된다.
또 다른 예시적인 실시예에서, 플라즈마 챔버에 기판을 에칭하기 위한 방법은 하단 전극 위 플라즈마 챔버의 기판을 수용하는 단계를 포함한다. 기판은 피처들을 형성하기 위한 에칭될 재료를 갖고, 에칭될 피처들의 위치들을 규정하기 위해 기판 위에 마스크가 제공된다. 방법은 또한 플라즈마 챔버에서, 플라즈마 에칭 프로세스를 사용하여 재료의 제 1 에칭을 수행하는 단계를 더 포함한다. 제 1 에칭은 재료의 제 1 깊이로 피처들을 형성하기 위해 플라즈마 에칭 가스들을 사용한다. 제 1 에칭 이후, 방법은 플라즈마 챔버로부터 기판의 제거 없이, 플라즈마 챔버에서, 마스크 및 제 1 에칭 동안 형성된 재료의 피처들 위에 컨포멀한 패시베이션 막을 증착하기 위해 ALP 프로세스를 수행하는 단계를 포함한다. ALP 프로세스는 피처들 및 마스크 위의 컨포멀한 패시베이션 막을 형성하기 위해 액체 전구체로부터 증기를 사용한다. ALP 프로세스 이후, 방법은 컨포멀한 패시베이션 막은 제 2 에칭 동안 마스크 및 피처들의 측벽들을 보호하도록 구성되는, 플라즈마 챔버에서 재료의 제 2 깊이로 피처들을 형성하기 위해 플라즈마 에칭 프로세스를 사용하여 재료의 제 2 에칭을 수행하는 단계를 포함한다.
일 실시예에서, ALP 프로세스는: (a) 마스크 및 피처들 위에 상당한 양의 전구체를 코팅하기 위해 플라즈마 챔버 내 액체 전구체의 증기를 도입하는 단계; (b) 컨포멀한 패시베이션 막의 원자 층을 형성하기 위해 상당한 양의 전구체를 경화하는 단계; 및 (c) 타겟 두께를 갖는 컨포멀한 패시베이션 막이 형성될 때까지 단계 (a) 의 액체 전구체의 증기의 도입 및 단계 (b) 의 상당한 양의 전구체의 경화를 반복하는 단계를 포함한다.
일 실시예에서, 액체 전구체의 증기는 액체 전달 시스템을 사용하여 플라즈마 챔버 내로 도입되고, 플라즈마 에칭 가스들은 에칭 가스 전달 시스템을 사용하여 플라즈마 챔버 내로 도입된다. 액체 전달 시스템 및 에칭 가스 전달 시스템은 재료의 제 1 에칭 및 제 2 에칭 동안 플라즈마 에칭 가스들을 도입하고, ALP 프로세스 동안 액체 전구체의 증기를 도입하기 위해 제어기에 의해 제어된 매니폴드들에 커플링된다.
일 실시예에서, ALP 프로세스 동안, 플라즈마 챔버의 퍼지는 단계 (a) 및 단계 (b) 를 수행한 후 수행되고, ALP 사이클은 단계 (a) 및 단계 (b) 가 반복될 때마다 완료된다. 일 실시예에서, ALP 사이클 각각은 단일 원자 단층을 생성하고, 특정한 타겟 두께를 갖는 컨포멀한 막은 상이한 재료들 및 상이한 종횡비들에 대해 실질적으로 동일한 두께 및 실질적으로 동일한 품질로 특정한 횟수의 ALP 사이클들을 수행함으로써 형성될 수 있다. 일 실시예에서, ALP 프로세스 동안, 단계 (a) 및 단계 (b) 가 반복될 때마다, 컨포멀한 패시베이션 막의 단일 원자 단층이 형성되고, 그리고 컨포멀한 패시베이션 막의 두께는 단일 원자 단층 또는 복수의 원자 단층들에 의해 규정된다.
본 명세서의 개시들의 다른 양태들 및 이점들이 본 개시들의 원리들을 예로서 예시하는 첨부한 도면들과 함께 취해진, 이하의 상세한 기술로부터 분명해질 것이다.
도 1은 일 실시예에 따른 에칭 동작들을 위해 사용된 플라즈마 프로세싱 시스템을 도시하는 개략적인 단면도이다.
도 2a 및 도 2b는 일 실시예에 따른 가스 주입기 단면도 및 저면도 각각을 제공한다.
도 3a는 일 실시예에 따른 에칭 동작들을 위해 사용된 플라즈마 프로세싱 시스템의 개략적인 단면도이다.
도 3b는 일 실시예에 따른 액체 전달 시스템의 부가적인 상세들을 예시하는 개략적인 도면이다.
도 4a는 예시적인 실시예에 따른 기판 에칭 시 수행된 방법 동작들을 예시하는 흐름도이다.
도 4b는 예시적인 실시예에 따라 기판의 에칭 시 수행된 ALP 동작에 관한 부가적인 상세들을 예시하는 흐름도이다.
도 5는 일 실시예에 따라 STI (Shallow Trench Isolation) 피처 위에 증착된 컨포멀한 ALP 막을 갖는 STI 피처의 예를 예시하고 동일한 챔버에서 STI 피처가 에칭되고 ALP 막이 증착된다.
도 6a는 에칭 프로세스에 대한 패시베이션으로서, 종래의 프로세싱 기법들에 따라 동일한 에칭 챔버에서 수행되는 O2 플래시의 사용을 도시하고 이것이 어떻게 종횡비 종속 및 재료 종속 패시베이션의 형성을 발생시키는지를 예시한다.
도 6b는 일 실시예에 따른 에칭 프로세스에 대한 인-시츄 ALP의 사용을 도시하고 어떻게 종횡비 종속 및 재료 종속 패시베이션의 형성을 발생시키는지를 예시한다.
도 7은 본 발명의 실시예들을 구현하기 위한 컴퓨터 시스템의 간략화된 개략적인 도면이다.
이하의 기술에서, 예시적인 실시예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 예시적인 실시예들이 이들 특정한 상세들의 일부 없이 실시될 수도 있는 것이 당업자들에게 분명할 것이다. 다른 예들에서, 이미 공지된 경우 프로세스 동작들 및 구현 상세들은 상세하게 기술되지 않았다.
본 발명의 실시예들은 플라즈마 에칭 챔버에서 ALP의 사용을 제공한다. 1) 개별적인 챔버에서 수행된 ALD 또는 2) 플라즈마 기반 패시베이션 대신 인-시츄 ALP를 사용함으로써, 프로세스 윈도우들이 고 종횡비의 다양한 재료들을 동시에 에칭하기 위해 넓어진다. 또한, ALP에서, 패시베이션 재료는 제어된 화학적 조성으로 공급되고, 그 결과 상당한 양의 재료가 구조체를 에칭하는 단계로부터 소비되지 않는다. 대조적으로, 플라즈마-기반 패시베이션 스킴들에서, 패시베이션 재료들은 기판 재료를 소비하는 산화 또는 질화에 의해 형성된다. 또한, 패시베이션 재료가 에칭 부산물에 의해 형성되면 화학적 조성의 제어가 없다. 또한, ALP 프로세스가 컨포멀하기 때문에, ALP 프로세스는 종횡비 독립적인 반면 플라즈마-기반 패시베이션은 종횡비 종속적이다.
도 1은 일 실시예에 따른 에칭 동작들을 위해 사용된 플라즈마 프로세싱 시스템을 도시하는 개략적인 단면도이다. 시스템은 챔버 바디 (114), 척 (116), 및 유전체 윈도우 (106) 를 포함하는 챔버 (132) 를 포함한다. 챔버 (132) 는 프로세싱 영역을 포함하고, 유전체 윈도우 (106) 는 프로세싱 영역 위에 배치된다. 척 (116) 은 기판 (112) 을 지지하기 위한 정전 척일 수 있고, 챔버에서 프로세싱 영역 아래에 배치된다. 일부 실시예들에서, 내부 패러데이 쉴드 (Faraday shield) (미도시) 가 유전체 윈도우 (106) 밑 챔버 (100) 내부에 배치된다. TCP 코일 (134) 이 유전체 윈도우 (106) 위에 배치되고, 매칭 회로 (102) 에 연결된다.
시스템은 하나 이상의 생성기들로부터 규정될 수 있는 바이어스 RF 생성기 (120) 를 포함한다. 복수의 생성기들이 제공되면, 상이한 주파수들이 다양한 튜닝 특성들을 달성하기 위해 사용될 수 있다. 바이어스 매칭 회로 (118) 가 척 (116) 을 규정하는 전도성 플레이트 어셈블리와 RF 생성기들 (120) 사이에서 커플링된다. 척 (116) 은 또한 웨이퍼의 척킹 (chucking) 및 디척킹 (dechucking) 을 가능하게 하도록 정전 전극들을 포함한다. 대체로, 필터 및 DC 클램프 전력 공급부가 제공될 수 있다. 척 (116) 으로부터 웨이퍼를 들어올리기 위한 다른 제어 시스템들이 또한 제공될 수 있다.
제 1 가스 주입기 (104) 가 챔버의 상단부로부터 챔버로 프로세스 가스들 또는 (증기 형태의) 액체 전구체의 두 개의 개별적인 스트림들을 주입하기 위해 두 개의 상이한 채널들을 제공한다. 웨이퍼들 상의 프로세스 동작들, 웨이퍼리스 자동 세정 (Waferless Auto Cleaning) 동작들, 및 다른 동작들과 같은 다양한 유형들의 동작들을 위해 챔버에 상이한 가스들을 공급하기 위해 복수의 가스 공급부들이 제공될 수도 있는 것을 인식해야 한다. 제 2 가스 주입기 (110) 가 상단부 대신 측면을 통해 챔버로 진입하는 또 다른 가스 스트림을 제공한다.
전달 시스템들 (128) 은, 일 실시예에서 에칭 가스 전달 시스템 (127) 및 액체 전달 시스템 (129) 을 포함한다. 매니폴드들 (122) 은 각각의 전달 시스템들로부터 출력들을 선택, 전환, 및/또는 혼합을 위해 사용된다. 이하에 보다 상세히 설명되는 바와 같이, 에칭 가스 전달 시스템은 기판의 재료들의 하나 이상의 층들을 에칭하도록 최적화된 에천트 가스들을 출력하도록 구성된다. 매니폴드들 (122) 은 ALP를 수행하기 위해 제어기 (108) 로부터 제어에 응하여 추가로 최적화된다. 일 실시예에서, ALP 프로세스는 사이클 각각이 기판 위의 에칭될 피처들 위에 패시베이션의 자기-제한 원자 단층을 생성하는 하나 이상의 사이클들로 수행된다. ALP 프로세스에서, 액체 전구체는 웨이퍼 표면을 포화로 도징하도록, 기화되고 챔버 (132) 내로 증기 형태로 전달된다. 웨이퍼 표면을 포화로 도징하는 것은 또한 웨이퍼 표면을 포화로 "소킹하는 (soaking)" 것으로 공지된 것을 주의한다. 웨이퍼가 전구체로 도징되면, 매니폴드들 (122) 에 의해 증기의 전달이 중단된다. 도징된 웨이퍼는 전구체를 경화하기 위해, RF 생성기들 (121 및 120) 을 사용하여 챔버에 전력을 공급하는 단계 및 챔버로 산소 (O2) 를 전달하는 단계를 포함하는 플래시 프로세스를 겪는다. 이 플래시 프로세스는 RF 전력이 전달되는 동안의 시간이, 예를 들어 약 0.5 초 내지 약 4 초로 비교적 빠르기 때문에 "O2 플래시" 동작으로서 지칭된다. O2 플래시 동작이 완료되면, 챔버는 퍼지된다.
ALP 프로세스에서, 컨포멀한 원자 층을 형성하기 위한 임의의 적합한 액체 전구체가 사용될 수 있다. 비제한적인 예에서, 액체 전구체는 일반적인 유형 C(x)H(y)N(z)O(a)Si(b) 의 조성을 가질 수 있다. 일부 실시예들에서, 액체 전구체는 C6H19N3Si, C8H22N2Si, C9H23NO3Si, and C12H28O4Si 중 하나의 조성을 갖는다.
다수의 사이클들이 프로세싱되면, 사이클 각각은 각각의 자기-제한 ALP 층을 형성하고, 에칭 동작은 재개된다. 일반적으로 말하면, 에칭 가스들은 또한 기판의 피처들을 에칭하기 위해 필요한 종들의 소스인 반응물질 가스로서 지칭된다. 반응물질 가스들의 예들은 염소 (Cl2), 브롬화수소 (HBr), 및 육불화황 (SF6)을 포함하지만, 다른 반응물질 가스들이 또한 사용될 수도 있다.
도 1의 실시예에서, 독립된 가스 스트림들은 챔버 내로 전달될 수도 있다. 일 스트림은 주입기 (104) 의 중심부를 통해 주입될 수 있다. 제 2 스트림이 또한 주입기 (104) 를 통해, 그러나 주입기 (104) 의 중심부를 둘러싸는 상이한 경로들을 통해 주입될 수 있다. 제 3 스트림은 측면 주입기 (110) 를 통해 챔버의 측면으로 주입될 수도 있다. 일 실시예에서, 가스 주입기 (104) 는 또한, 예를 들어 광학 액세스 윈도우를 통해 프로세스 챔버 외부의 진단 엔드 포인트로부터 축방향 경로를 따라 프로세스 챔버로 광학 액세스를 제공한다. 챔버로 광학 액세스에 대한 추가 상세들은 2011년 4월 19일에 허여된, 명칭이 "Methods of and Apparatus for Accessing a Process Chamber Using a Dual Zone Gas Injector with Improved Optical Access" 인 미국 특허 제 7,928,366 호에서 발견될 수도 있고, 이의 개시는 본 명세서에 참조로서 인용된다.
챔버 내로 가스들을 주입하는 다양한 방식들은 에칭 가스들 및/또는 액체 전구체가 다양한 위치들로부터 챔버 내로 제공될 수 있다는 것을 예시하기 위해 기술되었다. 일부 경우들에서, 주입기 (104) 만이 사용된다. 다른 경우들에서, 측면 주입기 (110) 만이 사용된다. 다른 경우들에서, 주입기 (104) 및 측면 주입기 (110) 모두가 사용될 수도 있다. 일 구성에서, 매니폴드들 (122) 은 어떤 가스들이 세 개의 상이한 가스 라인들 각각에 공급되는지 제어한다. 매니폴드들 (122) 은 임의의 유형의 가스 (반응물질, 튜닝, 전구체, 등) 로 하여금 임의의 세 개의 상이한 가스 라인들에 제공되게 한다. 일부 실시예들에서 튜닝 가스들은 산소 (O2), 헬륨 (He), 및 메탄 (CH4) 과 같은 가스들을 포함할 수 있다. 가스들은 혼합 없이 챔버 내로 전달되거나, 또는 챔버 내로 도입 전 다른 가스들과 혼합될 수도 있다.
도 2a 및 도 2b는 일 실시예에 따른 가스 주입기 (104) 단면도 및 저면도를 각각 제공한다. 주입기 (104) 는 두 개의 상이한 채널들: 중심부를 통해 챔버 내로 제 1 스트림을 도입하기 위한 제 1 가스 채널 (304), 및 외측 채널 (예를 들어, 에지 (edge) 채널) 을 통해 제 2 가스 스트림을 도입하기 위한 제 2 가스 채널 (306) 을 포함한다. 제 2 가스 채널 (306) 은 주입기 (104) 의 하단에 규정된 복수의 유출구들을 포함할 수 있다. 도 2b에 도시된 일 실시예에서, 제 2 가스 채널 (306) 은 주입기 (104) 의 하단에 규정된 여덟 개의 유출구들을 갖고, 유출구들은 약 45 도로 주입기의 하단의 주변부 주위로 이격된다.
도 1을 다시 참조하면, 동작 중인 플라즈마 프로세싱 동안 진공 펌프 (130) 가 진공 압력 제어 및 챔버로부터 가스성 부산물들의 제거를 가능하게 하도록 챔버 (132) 로 연결된다. 밸브 (126) 가 챔버에 적용되는 진공 석션 (suction) 의 양을 제어하기 위해 배기구 (124) 와 진공 펌프 (130) 사이에 배치된다.
유전체 윈도우 (106) 는 세라믹 재료 또는 세라믹 유형 재료로부터 규정될 수 있다. 반도체 에칭 챔버의 조건들을 견딜 수 있는 한, 다른 유전체 재료들 또한 가능하다. 통상적으로, 챔버들은 0 ℃ 내지 대략 200 ℃ 범위의 상승된 온도들에서 동작한다. 온도는 에칭 프로세스 동작 및 특정한 레시피에 종속될 것이다. 챔버 (132) 는 또한 약 1 mTorr (mT) 내지 약 500 mTorr (mT) 의 범위의 진공 조건들에서 동작한다. 본 명세서에 사용된 바와 같이, 용어 "약" 및 "대략" 은 명시된 파라미터가 적정한 허용오차, 예를 들어 ± 20 % 내에서 가변할 수 있다는 것을 의미한다.
모두 구체적으로 도시되어 있지는 않지만, 챔버 (132) 는 통상적으로 세정 룸 또는 제조 설비에 설치될 때 설비들에 커플링된다. 설비들은 그 중에서도 프로세싱 가스들, 진공, 온도 제어, 및 환경 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타겟 제조 설비에 설치될 때 챔버 (132) 에 커플링된다. 부가적으로, 챔버 (132) 는 로봇이 자동화를 사용하여 반도체 웨이퍼들을 챔버 (132) 내외로 이송할 수 있게 하는 이송 챔버에 커플링될 수도 있다.
프로그래밍 가능한 제어기 (108) 가 챔버 (132) 및 연관된 컴포넌트들의 동작을 제어하기 위해 제공된다. 일반적으로 말하면, 제어기 (108) 는 레시피에 의해 규정된 챔버 동작을 실행하도록 프로그래밍될 수 있다. 미리 결정된 레시피가 TCP 코일들로의 전력의 인가, 챔버 내로의 가스 플로우, 및 진공 적용과 같은 동작에 대한 다양한 파라미터들을 특정할 수도 있다. 타이밍, 지속기간, 규모, 또는 임의의 다른 조정 가능한 파라미터 또는 제어 가능한 특징이 레시피에 의해 규정되고 챔버 (132) 및 연관된 컴포넌트들의 동작을 제어하기 위해 제어기에 의해 수행될 수 있다는 것이 인식되어야 한다. 부가적으로, 일련의 레시피들이 제어기 (108) 에 프로그래밍될 수도 있다. 일 실시예에서, 레시피는 에칭 동작들을 프로세싱하도록 구성되고, 에칭 동작들 각각 사이에서 수행되는 일 ALP 프로세스 또는 ALP 프로세스의 사이클들을 포함한다.
일 실시예에서, 제어기는 제 1 에칭을 수행하기 위해 에칭 가스 전달 시스템을 활성화하도록 구성된다. 이 실시예에서, 제어기는 또한 컨포멀한 패시베이션 막으로 제 1 에칭 동안 형성된 피처들을 코팅하도록 제 1 에칭 후 ALP 프로세스를 수행하기 위해 적어도 액체 전달 시스템을 활성화하도록 구성된다. 일 실시예에서, 피처들은 웨이퍼 표면을 전구체로 포화시키기 위해 먼저 도징하고, 이후 패시베이션의 막을 형성하기 위해 전구체를 경화시킴으로써 코팅된다. ALP 프로세스는 1 회 이상으로 완료될 수 있고 매 회 컨포멀한 패시베이션 막의 단일 원자 단층이 형성된다. 일 실시예에서, 제어기는 또한 피처들의 제 2 에칭을 수행하기 위해 에칭 가스 전달 시스템을 활성화하도록 구성된다. 제 2 에칭 동안, 피처들로 하여금 제 2 에칭 동안 최소 임계 치수 (CD) 손실, 상이한 재료들과 종횡비들 간 최소 CD 로딩, 및 상이한 재료들과 종횡비들 간 최소 프로파일 로딩으로 규정되도록, 컨포멀한 패시베이션 막은 마스크 및 피처들의 측벽들을 보호한다. 일 실시예에서, 제어기는 또한 액체 플로우 제어기 및 증기발생기를 활성화하고, 이후 증기발생기에 의해 생성되고 피처들에 도포된 전구체의 상당한 양을 경화하기 위해 코일로의 RF 전력을 활성화하도록 구성된다. 이 실시예에서, RF 전력은 에칭 가스 전달 시스템을 사용하여 제공될 수도 있는 산소 가스와 함께 활성화된다. 일 실시예에서, 제어기는 ALP 프로세스가 완료될 때마다 챔버를 퍼지하기 위해 진공 시스템 또는 터보펌프를 활성화하도록 구성된다.
도 3a는 일 실시예에 따른 에칭 동작들을 위해 사용된 플라즈마 프로세싱 시스템의 개략적인 단면도이다. 도 3a에 도시된 바와 같이, 척 (116) 은 유전체 윈도우 (106) 를 구비한 챔버 바디 (114) 내에 배치된다. 일 실시예에서, 척 (116) 은 기판 (112) 을 지지하기 위한 정전 척이다. TCP 코일 (134) 이 유전체 윈도우 (106) 위에 배치되고, RF 생성기 (121) 에 커플링되는 매칭 회로 (102) 에 연결된다. 도 3a의 실시예에서, 전달 시스템들 (128) 은 에칭 가스 전달 시스템 (127) 및 액체 전달 시스템 (129) 을 포함한다. 에칭 가스 전달 시스템 (127) 은 도관 (303) 을 통해 매니폴드들 (122) 로 에천트 가스들을 전달한다. 액체 전달 시스템 (129) 은 도 3b에 관하여 이하에 상세하게 설명될 바와 같이, 도관 (301) 을 통해 매니폴드들 (122) 로 (증기 형태의) 액체 전구체를 전달한다. 매니폴드들 (122) 은 제어기 (108) 로부터의 제어에 응하여, 예를 들어 가스들 그리고/또는 진공 사이에서 전환하기 위한 복수의 밸브들을 사용하여 출력들을 선택, 전환, 및/또는 혼합함으로써 출력들이 적절한 시간들에 도관 (305) 을 통해 각각의 전달 시스템으로부터 챔버 바디 (114) 로 흐를 수 있게 한다. 각각의 전달 시스템들로부터의 출력들은 챔버 바디의 상단부에 위치된 가스 주입기 (104) 를 통해 도관 (305) 으로부터 챔버 바디 (114) 내로 흐른다. 챔버의 퍼지를 용이하게 하기 위해, 챔버 바디 (114) 의 베이스에 펌프 (117) 와 유체로 연통하여 연결되는 유출구 (115) 를 구비한다. 일 실시예에서, 펌프 (117) 는 터보펌프이다. 당업자들은 챔버 바디 (114) 의 베이스에 각각이 적합한 펌프에 연결되는 복수의 유출구들을 구비할 수 있다는 것을 인식할 것이다.
도 3b는 일 실시예에 따른 액체 전달 시스템의 부가적인 상세들을 예시하는 개략적인 도면이다. 도 3b에 도시된 바와 같이, 액체 전달 시스템 (129) 은 액체 전구체의 소스 (308), 액체 플로우 제어기 (310), 및 증기발생기 (312) 를 포함한다. 액체 전구체의 소스 (308) 는 적합한 액체 전구체들을 제공하는 설비들에 유체로 연통하여 커플링될 수 있다. 상기 기술된 바와 같이, 컨포멀한 원자 단층을 형성할 수 있는 임의의 액체 전구체가 사용될 수 있다. 액체 전구체는 소스 (308) 로부터, 제어기 (108) (예를 들어, 도 3a를 참조) 로부터 수신된 인스트럭션에 기초하여 플로우의 양을 규제하는 액체 플로우 제어기 (310) 로 흐른다. 일 실시예에서, 액체 전구체의 양은 약 50 마이크로리터 내지 약 1,000 마이크로리터의 범위 내이다. 액체 전구체는 액체 플로우 제어기 (310) 로부터, 액체 전구체를 액체 상태에서 증기 상태로 변환하는 증기발생기 (312) 로 흐른다. 기화된 전구체는 제어기 (108) 로부터 수신된 제어에 기반하여, 적절한 시간에 가스 주입기 (104) (예를 들어, 도 1을 참조) 에 기화된 전구체를 공급하는 매니폴드들 (122) 로 흐른다. 기화된 전구체는 챔버 바디 (114) (예를 들어, 도 1을 참조) 에 의해 구획된 챔버 (132) 내로 가스 주입기 (104) 를 통해 흐른다.
도 4a는 예시적인 실시예에 따른 기판 에칭 시 수행된 방법 동작들을 예시하는 흐름도이다. 동작 (400) 에서, 기판, 예를 들어 웨이퍼는 공지된 기법들에 따라 에칭된다. 일 실시예에서, 기판은 플라즈마 챔버, 예를 들어 TCP 에칭 챔버에서 하단 전극 위에 수용된다. 기판은 재료에 피처들을 형성하기 위해 에칭될 재료, 예를 들어 도체를 갖고, 마스크가 에칭될 피처들의 위치를 규정하기 위해 기판 위에 제공된다. 플라즈마 에칭 프로세스는 재료의 피처들을 형성하기 위해 플라즈마 에칭 가스들을 사용한다. 일 실시예에서, 제 1 에칭이 제 1 깊이로 재료의 피처들을 형성하기 위해 수행된다. 제 1 깊이는, 최종 깊이의 임의의 적합한 백분율, 예를 들어 20 %, 30 %, 40 %, 50 %, 등일 수 있다. 이하에 보다 상세하게 설명될 바와 같이, 제 2 에칭은 제 2 깊이로 재료의 피처들을 형성하기 위해 후속하여 수행될 수도 있다. 일 실시예에서, 제 2 깊이는 최종 깊이이다; 그러나, 두 번보다 많은 에칭 프로세스들이 최종 깊이로 피처들을 규정하기 위해 수행될 수 있다는 것이 당업자들에 의해 인식될 것이다. 에칭 동작, 예를 들어 제 1 에칭이 완료된 후, 동작 (402) 에서 챔버가 퍼지된다. 일 실시예에서 도 3a를 다시 참조하여, 챔버는 펌프 (117) 와 유체로 연통하여 커플링되는 유출구 (115) 를 통해 퍼지된다.
챔버가 퍼지되면, 동작 (404) 에서 ALP가 플라즈마 챔버에서 수행된다. ALP 동작에서, 컨포멀한 패시베이션 막이 에칭 동작, 예를 들어 마스크 및 제 1 에칭 동안 형성된 재료의 피처들 위에 증착된다. ALP 동작에 관한 부가적인 상세들은 도 4b에 관하여 이하에 기술된다. 단일 원자 단층보다 큰 두께를 갖는 패시베이션 막을 형성하기 위해 복수의 횟수들로 반복될 수 있는 ALP 동작이 완료되면, 동작 (400-2) 에서 플라즈마 에칭 프로세스를 사용하여 재료의 제 2 에칭이 제 2 깊이로 재료의 피처들을 규정하도록 수행된다. 제 2 에칭 동안, 컨포멀한 패시베이션 막은 제 2 깊이로 피처들의 에칭을 가능하게 하도록 마스크 및 피처들의 측벽들을 보호한다.
도 4b는 예시적인 실시예에 따라 기판의 에칭 시 수행된 ALP 동작에 관한 부가적인 상세들을 예시하는 흐름도이다. 일 실시예에서, ALP 동작 (도 4a의 동작 (404) 을 참조) 은 동작들 (404-1, 404-2, 404-3, 404-4) 을 포함한다. 동작 (404-1) 에서, 액체 전구체는 포화로 기판 표면을 도징시키도록 기판의 표면에 도포된다. 적합한 전구체들의 예들은 도 1의 기술과 관련되어 상기에 열거되었다. 일 실시예에서, 액체 전달 시스템 (LDS) 이 챔버로 액체 전구체를 공급하기 위해 사용된다. 예로서, 예를 들어 도 3a 및 도 3b에 도시된 바와 같이 LDS (129) 는 챔버로 액체 전구체를 공급하기 위해 사용될 수 있다. 상기 기술된 바와 같이, LDS (129) 는 액체 전구체를 기화시키고 챔버로 기화된 전구체를 전달한다. 기판, 예를 들어 웨이퍼가 전구체로 도징되면, 동작 (404-2) 에서 O2 플래시가 전구체를 경화시키고 플라즈마 에칭 프로세스, 예를 들어 마스크 및 제 1 에칭 동안 형성된 재료의 피처들 위에 컨포멀한 패시베이션의 원자 단층을 형성하기 위해 수행된다. O2 플래시는 플라즈마 챔버의 RF 생성기 (예를 들어, 도 1에 도시된 RF 생성기들 (121 및 120)) 에 전력을 공급하고, 챔버 내로 산소 (O2) 를 도입함으로써 수행된다. 예로서, 산소는 예를 들어 도 1 및 도 3a에 도시된 에칭 가스 전달 시스템 (127) 을 사용하여 챔버 내로 도입될 수 있다. 일 실시예에서, 산소는 약 500 sccm 내지 약 2,500 sccm 범위의 플로우 레이트로 챔버 내로 도입된다. 일 실시예에서, RF 전력은 약 200 W 내지 약 3,000 W인 전력 레벨로 인가된다. 통상적으로 약 0.5 초 내지 약 4 초가 소요되는 O2 플래시의 완료 시, 동작 (404-3) 에서 챔버가 퍼지된다. 챔버는 챔버의 유출구와 유체 연통하는 적합한 펌프를 사용하여 퍼지될 수 있다. 예로서, 유출구 (115) (도 3a를 참조) 와 유체 연통하는 도시된 펌프 (117) 는 챔버를 퍼지하도록 사용될 수 있다. 일 실시예에서, 펌프 (117) 는 터보펌프이다. 일 실시예에서, 퍼지 동작은 대략 2 초가 소요되고 ALP 동작의 일 사이클은 약 4 초 내지 약 15 초 범위인 시간의 기간 동안 지속된다.
동작 (404-4) 에서, 부가적인 ALP 단층이 형성되어야 하는지 여부의 결정이 이루어진다. 부가적인 ALP 단층이 필요하지 않으면, 기판을 에칭하는 방법은 도 4a에 예시된 바와 같이 ALP 동작 (400) 으로부터 에칭 동작 (400-2) 으로 진행한다. 부가적인 ALP 단층이 형성되어야 하면, 동작들 (404-1, 404-2, 및 404-3) 은 또 다른 ALP 단층을 형성하기 위해 반복된다. 동작 (404-1, 404-2, 404-3) 을 수행함으로써 ALP 단층의 형성은 특정한 적용예를 위해 충분한 레벨의 패시베이션을 달성하기 위해 다수의 사이클들로 반복될 수 있다. ALP 단층 각각이 통상적으로 약 1.0 Å 내지 약 1.3 Å 범위의 두께를 갖기 때문에, ALP 동작은 목표된 타겟 두께, 예를 들어 5 Å, 10 Å, 20 Å, 등을 갖는 전체 패시베이션 막을 형성하기 위해 복수의 사이클들을 포함할 수 있다. 일 실시예에서, ALP 동작은 1 내지 100 사이클들을 포함한다. 다른 실시예들에서, ALP 동작은 1 내지 10 사이클들, 10 내지 60 사이클들, 20 내지 30 사이클들, 등을 포함할 수 있다.
도 5는 일 실시예에 따라 STI 피처 위에 증착된 컨포멀한 ALP 필름을 갖는 STI 피처의 예를 예시하고, 동일한 챔버에서 STI 피처가 에칭되고 ALP 막이 증착된다. 도 5에 도시된 바와 같이, STI 피처는 복수의 구조체들 (504) 을 규정하기 위해 전도성 재료, 예를 들어, 실리콘 내로 에칭되는 복수의 트렌치들 (502) 을 포함한다. 에칭 프로세스 동안 사용된 마스크 (506) 는 구조체들 (504) 각각의 상단 영역 위에 남아있다. 일 실시예에서, 마스크 (506) 는 실리콘 나이트라이드로 형성된다; 그러나, 다른 적합한 마스크 재료들이 또한 사용될 수도 있다. ALP 막 (508) 은 컨포멀한 방식으로 트렌치들 (502) 의 표면들 및 구조체들 (504) 을 덮는다. 도 5에 도시된 하부 두께의 인디케이터 (indicator) 에 의해 나타난 바와 같이, 트렌치들 (502) 의 하단 근처 영역의 컨포멀한 ALP 막 (508a) 은 약 52 Å의 두께를 갖는다. 도 5에 도시된 중간 두께의 인디케이터에 의해 나타난 바와 같이, 구조체 (504) 의 측벽들을 따르는 영역의 컨포멀한 ALP 막 (508b) 은 또한 약 52 Å의 두께를 갖는다. 도 5에 도시된 상단부 두께의 인디케이터에 의해 나타난 바와 같이, 마스크 (506) 를 둘러싼 영역의 컨포멀한 ALP 막 (508c) 은 약 56 Å의 두께를 갖는다. 따라서, 구조체들의 상단부, 구조체들의 측벽들을 따라, 그리고 구조체들의 하단 근처에 위치된 인디케이터들의 두께는 구조체들 위에 증착된 ALP 막의 컨포멀한 본질을 입증한다. ALP가 또한 상이한 종횡비들에 걸쳐 동일한 양을 증착하고 기판, 예를 들어 웨이퍼에 걸쳐 균일하게 증착하는 것을 주의한다.
상기 제시된 바와 같이, 통상적 ALP 단층은 약 1.0 Å 내지 약 1.3 Å 범위의 두께를 갖는다. 따라서, 도 5의 예에서 도시된 바와 같이 약 50 Å의 두께를 갖는 컨포멀한 ALP 막을 증착하기 위해, ALP 동작은 적어도 35 내지 40 사이클들 (ALP 단층이 약 1.3 Å의 두께를 갖는 것으로 가정) 로 반복되어야 한다.
도 6a는 에칭 프로세스를 위한 패시베이션으로서 종래의 프로세싱 기법들에 따라 동일한 에칭 챔버에서 수행되는 O2 플라즈마 플래시의 사용을 도시하고, 이것이 어떻게 종횡비 종속 및 재료 종속 패시베이션의 형성을 발생시키는지 예시한다. 도 6a는 제 1 에칭 프로세스가 플라즈마 에칭 챔버의 기판 상에 수행된 후 기판 (600) 에 구획된 복수의 구조체들 (602 및 603) 을 도시한다. 두 가지 유형의 트랜지스터들 (예를 들어, n-형 및 p-형) 의 형성을 가능하게 하기 위해, 구조체들 (602) (좌측의 두 구조체들) 은 기판 재료, 예를 들어 실리콘 게르마늄 (SiGe) 과 상이한 화학 조성들을 갖는 재료들로 형성되고, 구조체들 (603) (우측의 두 구조체들) 은 기판 재료, 예를 들어 실리콘으로 형성된다. 에칭 프로세스 동안 사용된 마스크 (604) 의 일부는 구조체들 (602 및 603) 각각 위에 배치된다. 제 1 에칭은 기판 (600) 의 재료 내 제 1 깊이로 연장하고, 제 1 깊이는 하나 이상의 부가적인 에칭 프로세스들에서 도달할 전체 에칭 프로세스의 최종 깊이보다 얕다. 제 1 에칭이 수행된 후, O2 플라즈마 플래시는 동일한 플라즈마 에칭 챔버 내부에서 패시베이션을 위해 사용된다. 산소 가스가 존재 시 RF 전력이 인가되는 O2 플라즈마 플래시 동안, 따라서 형성된 플라즈마의 산소는 기판 상에 산화가 발생하게 한다. 예를 들어, 실리콘으로 형성되는 구조체들 (603) 은 SiOx 패시베이션의 막 (603-1) 을 생성하기 위해 산소와 반응한다. 유사하게, 상이한 재료, 예를 들어 SiGe로 형성되는 구조체들 (602) 은 패시베이션의 막 (602-1), 예를 들어 SiGeOx를 생성하기 위해 산소와 반응한다.
O2 플래시가 수행된 후, 제 2 에칭 프로세스가 제 2 깊이로 구조체들 (602 및 603) 을 구획하도록 사용된다. 제 2 에칭 동안, 패시베이션 막들 (602-1 및 603-1) 은 에칭된다. O2 플래시 동안 이들 패시베이션 막들의 생성이 구조체들 (602 및 603) 로부터 재료를 소비함에 따라, 조밀한 영역의 구조체들 (602, 602-2 (실리콘으로 형성됨), 및 603) 은 측벽들의 에칭뿐만 아니라 재료 손실로 인해 에칭 프로세스 후 보우된 (bow) 측벽 구성을 갖는다. 특히, O2 플래시로부터 형성된 SiGeOx 패시베이션은 SiOx 패시베이션보다 약하고 제 2 에칭에서 SiOx보다 빨리 침식된다. 결과적으로, 제 2 에칭 후 프로파일 차이가 있다. 예를 들어, 도 6a에서 예를 들어 SiGe로 형성된 영역 (602) 은, 예를 들어 Si로 형성된 영역 (602-2) 보다 더 보우된다. 이들 보우된 프로파일들은 보우된 프로파일이 FinFET 트랜지스터들 (transistors) 에 허용되지 않기 때문에 문제가 된다. 분리 ("iso") 영역에 인접하여 위치된 구조체 (603) (가장 우측의 구조체 (603)) 는 산소 라디칼들로의 증가된 노출로 인해 테이퍼진 (tapered) 프로파일과 함께 보다 두꺼운 영역 (603-2) 을 갖는다. 특히, 분리 ("iso") 영역의 상대적으로 개방된 본질은 보다 많은 산소 라디칼들이 구조에 도달하게 하여 보다 많은 패시베이션의 형성을 발생시킨다. 패시베이션의 상승된 레벨은 제 2 에칭 후 "iso" 영역에 보다 테이퍼진 실리콘 프로파일을 초래한다.
도 6b는 일 실시예에 따른 에칭 프로세스에 대한 인-시츄 ALP의 사용을 도시하고 어떻게 종횡비 종속 및 재료 종속 패시베이션의 형성을 발생시키는지 예시한다. 도 6a와 유사하게, 도 6b는 제 1 에칭 프로세스가 플라즈마 에칭 챔버의 기판 상에 수행된 후 기판 (600) 에 구획된 복수의 구조체들 (602 및 603) 을 도시한다. 구조체들 (602) (좌측의 두 구조체들) 은 기판 재료, 예를 들어 실리콘 게르마늄 (SiGe) 과 상이한 화학 조성들을 갖는 재료들로 형성되고, 구조체들 (603) (우측의 두 구조체들) 은 기판 재료, 예를 들어 실리콘으로 형성된다. 에칭 프로세스 동안 사용된 마스크 (604) 의 일부는 구조체들 (602 및 603) 각각 위에 배치된다. 제 1 에칭은 기판 (600) 의 재료 내로 제 1 깊이를 연장하고, 제 1 깊이는 하나 이상의 부가적인 에칭 프로세스들에서 도달될 전체 에칭 프로세스의 최종 깊이보다 얕다. 제 1 에칭이 수행된 후, 기판 (600) 은 플라즈마 에칭 챔버에 남아있고 인-시츄 ALP 프로세스를 겪는다. 본 명세서에 기술된 바와 같이, ALP 프로세스에서 기판 (600) 은 액체 전구체의 증기로부터 셀프-제한된 단층으로 코팅되고, 이후 전구체는 플라즈마 플래시 프로세스를 수행하기 위해 산소 가스와 함께 플라즈마 챔버의 전극들로 RF 전력을 인가함으로써 경화된다. ALP 프로세스는 구조체들 (602 및 603) 및 마스크 (604) 를 균일하게 코팅하는 패시베이션의 막 (605-A) 을 발생시키고, 막의 두께는 수행된 ALP 사이클들의 수의 함수이다. ALP 프로세스가 완료되고 플라즈마 에칭 챔버가 퍼지되면, 제 2 에칭 프로세스는 제 2 깊이로 구조체들 (602 및 603) 을 구획하기 위해 플라즈마 에칭 챔버로부터 기판을 제거하지 않고 기판 (600) 상에 수행된다. 제 2 에칭 동안, 패시베이션 막 (605-A) 은 에칭된다. 도 6a에 예시된 패시베이션 막과 대조적으로, 패시베이션 막 (605-A) 의 제거는 ALP 프로세스가 외부 패시베이션의 소스, 즉 증기 형태로 플라즈마 에칭 챔버에 공급되는 액체 전구체를 제공하기 때문에 어떠한 재료 손실도 수반하지 않는다. 결과적으로, 조밀한 영역의 구조체들 (602 및 603) 은 CD의 임의의 손실이 최소이기 때문에 에칭 후 실질적으로 균일한 구성을 갖는다. 이 최소 CD 손실은 반도체 제조 프로세스들 동안 만족되어야 하는 설계 규칙 위반을 감소시킨다. 고립 ("iso") 영역에 인접하여 위치된 구조체 (603) (가장 우측의 구조체 (603)) 는 영역 (603-B) 을 포함한다. 도 6a에 도시된 영역 (603-2) 의 증가된 두께와 대조적으로, 도 6b에 도시된 영역 (603-B) 은 "iso" 피처에 형성된 패시베이션 양이 ALP를 통해 조밀한 피처들에 형성된 패시베이션 양과 동일하기 때문에 실질적으로 균일한 구성을 갖는다.
도 6b에 도시된 바와 같이, ALP는 패시베이션이 재료 독립적이기 때문에 Si와 SiGe 사이의 동일한 CD를 가능하게 한다. 즉, Si 및 SiGe 모두에 대한 ALP는 후속하는 에칭 단계들 동안 동일한 부식 레이트를 갖는다. 또한, ALP는 Si와 SiGe 사이의 프로파일 차이를 제거하고, 즉 이들 상이한 재료들로 형성된 상이한 피처들 사이에 테이퍼 (taper)/보우 (bow) 차이가 없다.
ALP 프로세스는 플라즈마 에칭 챔버에 있는 동안 기판 위에 패시베이션 막을 증착시키는 것을 수반하기 때문에, 플라즈마 에칭 챔버는 정기적으로 세정되어야 한다. 일 실시예에서, 플라즈마 에칭 챔버는 웨이퍼 프로세싱 사이에 수행되는 WAC (Waferless Auto-Clean) 프로세스를 사용하여 세정된다. 일 실시예에서, WAC 프로세스는 웨이퍼 각각이 프로세싱된 후 수행된다. 또 다른 실시예에서, WAC 프로세스는 많은 웨이퍼들 각각이 프로세싱된 후 수행된다. 당업자들은 WAC 프로세스가 예를 들어, 웨이퍼 각각 후, 매 다른 웨이퍼 후, 매 10 개의 웨이퍼들 후, 매 많은 웨이퍼들 후, 등 특정한 적용예들의 요구를 충족시키기에 적합한 임의의 인터벌 (interval) 수행될 수 있다는 것을 인식할 것이다.
일부 구현예들에서, 제어기는, 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전에, 프로세싱 동안에 그리고 프로세싱 후에 그들의 동작을 제어하기 위해 전자장치들에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기" 로서 지칭될 수도 있다. 제어기는, 프로세싱 조건들 및/또는 시스템의 유형에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 으로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 유형 및 수행될 프로세스의 유형에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, 원격으로 위치한 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (Atomic Layer Deposition) 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 7은 본 발명의 실시예들을 구현하기 위한 컴퓨터 시스템의 간략화된 개략적인 도면이다. 본 명세서에 기술된 방법들이 종래의 범용 컴퓨터 시스템과 같은 디지털 프로세싱 시스템으로 수행될 수도 있다는 것을 인식해야 한다. 하나의 기능만을 수행하도록 설계되는 또는 프로그래밍되는 특수 목적 컴퓨터들이 대안적으로 사용될 수도 있다. 컴퓨터 시스템 (1000) 은 버스 (1010) 를 통해 RAM (Random Access Memory) (1028), ROM (Read-Only Memory) (1012), 및 대용량 저장 디바이스 (1014) 에 커플링되는 CPU (Central Processing Unit) (1004) 를 포함한다. 시스템 제어기 프로그램 (1008) 은 RAM (1028) 에 상주하지만, 대용량 저장 디바이스 (1014) 에 상주할 수도 있다.
대용량 저장 디바이스 (1014) 는 플로피 디스크 드라이브 또는 고정된 디스크 드라이브와 같은 영구 데이터 저장 디바이스를 나타내며, 이는 로컬 또는 원격일 수도 있다. 네트워크 인터페이스 (1030) 는 다른 디바이스들과의 통신을 허용하는 네트워크 (1032) 를 통한 연결을 제공한다. CPU (1004) 는 범용 프로세서, 특수 목적 프로세서, 또는 특수하게 프로그래밍된 로직 디바이스로 구현될 수도 있다는 것이 인식되어야 한다. 입력/출력 (I/O) 인터페이스 (1020) 는 상이한 주변기기들과 통신을 제공하고, 버스 (1010) 를 통해 CPU (1004), RAM (1028), ROM (1012), 및 대용량 저장 디바이스 (1014) 와 연결된다. 샘플 주변기기들은 디스플레이 (1018), 키보드 (1022), 커서 제어기 (1024), 이동식 매체 디바이스 (1034), 등을 포함한다.
디스플레이 (1018) 는 본 명세서에 기술된 사용자 인터페이스들을 디스플레이하도록 구성된다. 키보드 (1022), 커서 제어기 (마우스) (1024), 이동식 매체 디바이스 (1034), 및 다른 주변부들은 CPU (1004) 로 명령 선택들의 정보를 통신하기 위해 I/O 인터페이스 (1020) 에 커플링된다. 외부 디바이스들로 그리고 외부 디바이스들로부터의 데이터가 I/O 인터페이스 (1020) 를 통해 통신될 수도 있다는 것이 인식되어야 한다. 실시예들은 또한 태스크들이 유선 기반 또는 무선 네트워크를 통해 링크되는 원격 프로세싱 디바이스들에 의해 수행되는 분산된 컴퓨팅 환경들에서 실시될 수 있다.
실시예들은 소형 디바이스들, 마이크로프로세서 시스템들, 마이크로프로세서 기반 또는 프로그래밍 가능한 소비자 전자제품들, 미니컴퓨터틀, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 실시예들은 또한 태스크들이 네트워크를 통해 링크되는 원격 프로세싱 디바이스들에 의해 수행되는 분산된 컴퓨팅 환경들에서 실시될 수 있다.
상기 실시예들을 염두에 두고, 실시예들이 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용할 수 있다는 것을 이해해야 한다. 이들 동작들은 물리적 양들의 물리적 조작을 요구한다. 실시예들의 부분을 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다. 실시예들은 또한 이들 동작들을 수행하기 위한 디바이스 또는 장치와 관련이 있다. 장치는 특수한 목적의 컴퓨터와 같은 필요한 목적을 위해 특별하게 구성될 수도 있다. 특수한 목적의 컴퓨터로서 규정될 때, 컴퓨터는 여전히 특수한 목적을 위해 동작할 수 있지만, 또한 특수한 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다. 대안적으로, 동작들은 컴퓨터 메모리, 캐시에 저장된, 또는 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성되는 범용 컴퓨터에 의해 프로세싱될 수도 있다. 데이터가 네트워크를 통해 획득되면 데이터는 예를 들어, 컴퓨팅 리소스들의 클라우드인 네트워크 상의 다른 컴퓨터들에 의해 프로세싱될 수도 있다.
하나 이상의 실시예들은 또한 컴퓨터 판독 가능 매체 상의 컴퓨터 판독 가능한 코드로서 제조될 수 있다. 컴퓨터 판독 가능 매체는 컴퓨터 시스템에 의해 이후 판독될 수 있는, 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독 가능 매체의 예들은 하드 드라이브들, NAS (Network Attached Storage), ROM, RAM, CD-ROM들, CD-R들, CD-RW들, 자기 테이프들 및 다른 광학적 그리고 비광학적 데이터 저장 디바이스들을 포함한다. 컴퓨터 판독 가능 매체는 컴퓨터 판독 가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터 판독 가능 유형의 매체를 포함할 수 있다.
방법 동작들이 특정한 순서로 기술되었지만, 오버레이 동작들의 프로세싱이 목표된 방식으로 수행되는 한, 다른 하우스키핑 (housekeeping) 동작들이 동작들 사이에 수행될 수도 있고, 또는 동작들은 약간 상이한 시간에 발생하도록 조정될 수도 있거나 프로세싱과 연관된 다양한 인터벌로 프로세싱 동작들을 발생하게 하는 시스템에서 분산될 수도 있다는 것이 이해되어야 한다.
따라서, 예시적인 실시예들의 개시는 이하의 청구항들 및 그들의 등가물들에 제시되는 개시들의 범위의, 제한이 아닌 예시로서 의도된다. 개시들의 예시적인 실시예들이 이해의 명확성의 목적들을 위해 다소 상세하게 기술되었지만, 특정한 변경들 및 수정들이 이하의 청구항들의 범위 내에서 실시될 수 있다는 것이 분명할 것이다. 이하의 청구항들에서, 엘리먼트들 및/또는 단계들은 청구항들에 명시적으로 언급되거나 개시에 의해 암시적으로 요구되지 않는 한, 동작의 임의의 특정한 순서를 암시하지 않는다.

Claims (27)

  1. 플라즈마 챔버에서 기판을 에칭하기 위한 방법에 있어서,
    플라즈마 챔버에서 하단 전극 위에 기판을 수용하는 단계로서, 상기 기판은 에칭될 피처들의 위치를 규정하기 위해 상기 기판 위에 제공된 마스크와 함께, 상기 피처들을 형성하기 위한 에칭될 재료를 갖는, 상기 기판을 수용하는 단계;
    상기 플라즈마 챔버에서, 플라즈마 에칭 프로세스를 사용하여 상기 재료의 제 1 에칭을 수행하는 단계로서, 상기 제 1 에칭은 상기 재료의 제 1 깊이로 피처들을 형성하기 위해 플라즈마 에칭 가스들을 사용하는, 상기 재료의 제 1 에칭을 수행하는 단계;
    상기 플라즈마 챔버에서, 상기 제 1 에칭 동안 형성된 상기 피처들 및 상기 마스크 위에 컨포멀한 (conformal) 패시베이션 막을 증착시키기 위해 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 프로세스를 수행하는 단계; 및
    상기 플라즈마 챔버에서, 상기 플라즈마 에칭 프로세스를 사용하여 상기 재료의 제 2 에칭을 수행하는 단계로서, 상기 제 2 에칭은 상기 재료의 제 2 깊이로 피처들을 형성하기 위해 플라즈마 에칭 가스들을 사용하고, 상기 컨포멀한 패시베이션 막은 상기 제 2 에칭 동안 상기 마스크 및 상기 피처들의 측벽들을 보호하도록 구성되는, 상기 재료의 제 2 에칭을 수행하는 단계를 포함하고,
    상기 ALP 프로세스는,
    (a) 상기 마스크 및 상기 피처들 위에 상당한 양의 전구체를 코팅하기 위해 상기 플라즈마 챔버 내로 액체 전구체의 증기를 도입하는 단계;
    (b) 상기 컨포멀한 패시베이션 층의 원자 단층을 형성하기 위해 상기 상당한 양의 전구체를 경화하는 단계; 및
    (c) 타겟 두께를 갖는 컨포멀한 패시베이션 막이 상기 플라즈마 챔버로부터 상기 기판의 제거 없이 형성될 때까지 상기 단계 (a) 의 상기 액체 전구체의 증기의 도입 및 상기 단계 (b) 의 상기 상당한 양의 전구체의 경화를 반복하는 단계를 포함하는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 컨포멀한 패시베이션 막은 a) 최소 임계 치수 손실, 또는 b) 상이한 재료들 및 상이한 종횡비들 간 최소 임계 치수 로딩, 또는 c) 상이한 재료들 및 상이한 종횡비들 간 최소 프로파일 로딩 중 하나와 함께 상기 제 2 깊이로 상기 피처들의 에칭을 가능하게 하도록 구성되는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 액체 전구체의 증기는 액체 전달 시스템을 사용하여 상기 플라즈마 챔버 내로 도입되고, 상기 플라즈마 에칭 가스들은 에칭 가스 전달 시스템을 사용하여 상기 플라즈마 챔버 내로 도입되고, 상기 액체 전달 시스템 및 상기 에칭 가스 전달 시스템은 상기 재료의 제 1 에칭 및 제 2 에칭 동안 상기 플라즈마 에칭 가스들을 도입하고 상기 ALP 프로세스 동안 상기 액체 전구체의 증기를 도입하기 위해 제어기에 의해 제어된 매니폴드들에 커플링되는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 ALP 프로세스 동안, 상기 상당한 양의 전구체를 경화하는 단계는 플라즈마 플래시 프로세스를 수행하기 위해 산소 가스와 함께 상기 플라즈마 챔버의 전극들에 무선 주파수 (RF) 전력을 인가하는 단계를 포함하고, 상기 플라즈마 플래시 프로세스는 약 0.5 초 내지 약 4 초인 시간의 기간 동안 프로세싱되며, 상기 RF 전력은 약 200 W 내지 약 3,000 W인 전력 레벨로 인가되는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 ALP 프로세스 동안, 상기 플라즈마 챔버의 퍼지가 상기 단계 (a) 및 상기 단계 (b) 를 수행한 후 수행되고, ALP 사이클은 상기 단계 (a) 및 상기 단계 (b) 가 반복될 때마다 완료되는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  6. 제 4 항에 있어서,
    상기 ALP 사이클 각각은 단일 원자 단층을 생성하고, 특정한 타겟 두께를 갖는 컨포멀한 막은 특정한 횟수의 상기 ALP 사이클들을 수행함으로써 형성될 수 있는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 APL 프로세스 동안, 상기 단계 (a) 및 상기 단계 (b) 가 반복될 때마다, 상기 컨포멀한 패시베이션 막의 단일 원자 단층이 형성되고, 그리고 상기 컨포멀한 패시베이션 막의 두께는 상기 단일 원자 단층 또는 복수의 원자 단층들에 의해 규정되는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 제 2 에칭 후 하나 이상의 부가적인 에칭들을 수행하는 단계로서, 부가적인 에칭 각각이 수행되기 전, ALP 프로세스는 각각의 컨포멀한 패시베이션 막을 형성하기 위해 수행되고, 상기 컨포멀한 패시베이션 막은 상기 컨포멀한 패시베이션 막의 상기 타겟 두께에 도달하기 위해 상기 단계 (a) 및 상기 단계 (b) 를 다수의 횟수들로 반복함으로써 구획되는, 상기 하나 이상의 부가적인 에칭들을 수행하는 단계를 더 포함하는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 컨포멀한 패시베이션 막은 상기 피처들의 상기 측벽들의 어떠한 상당한 양의 재료도 소비하지 않고 상기 피처들의 측벽들 및 상기 마스크 위에 증착되는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  10. 제 9 항에 있어서,
    상기 에칭될 재료는 실리콘, 실리콘 게르마늄, 또는 게르마늄을 포함하고, 상기 컨포멀한 패시베이션 막은 상기 피처들의 상기 측벽들의 어떠한 상당한 양의 재료도 소비하지 않으며 상이한 재료들 위에 실질적으로 동일한 품질의 패시베이션을 형성하는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  11. 제 1 항에 있어서,
    상기 ALP 프로세스 동안, 상기 상당한 양의 전구체를 경화하는 단계는 플라즈마 플래시 프로세스를 수행하기 위해 산소 가스와 함께 상기 플라즈마 챔버의 전극들로 RF 전력을 인가하는 단계를 포함하는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  12. 프로세싱 영역을 포함하는 챔버;
    상기 프로세싱 영역 아래의 상기 챔버에 배치된 하단 전극;
    상기 프로세싱 영역 위 그리고 상기 하단 전극 위에 배치된 유전체 윈도우;
    상기 프로세싱 영역에 RF 전력을 제공하기 위해 상기 유전체 윈도우 위에 배치된 코일;
    상기 하단 전극 위에 배치될 때 피처들을 형성하기 위해 기판의 재료의 제 1 에칭을 수행하기 위해 사용된 하나 이상의 가스 소스들에 커플링된 에칭 가스 전달 시스템으로서, 상기 에칭 가스 전달 시스템은 매니폴드들에 커플링되는 출력부를 갖는, 상기 에칭 가스 전달 시스템; 및
    액체 전구체의 소스, 상기 액체 전구체의 소스에 커플링된 액체 플로우 제어기, 및 상기 액체 플로우 제어기에 커플링된 증기발생기를 포함하는 액체 전달 시스템으로서, 상기 액체 전달 시스템은 상기 매니폴드들에 커플링된 출력부를 갖고, 상기 매니폴드들은 제어기에 의해 제어되는, 상기 액체 전달 시스템을 포함하고,
    상기 제어기는 상기 제 1 에칭을 수행하기 위해 상기 에칭 가스 전달 시스템을 활성화하도록 구성되고, 컨포멀한 패시베이션 막으로 상기 제 1 에칭 동안 형성된 상기 피처들을 코팅하기 위해 상기 제 1 에칭 후 ALP 프로세스를 수행하도록 적어도 상기 액체 전달 시스템을 활성화하도록 구성되고, 상기 ALP 프로세스는 1 회 이상으로 완료되며 매 회 상기 컨포멀한 패시베이션 막의 단일 원자 단층이 형성되고, 그리고
    상기 제어기는 상기 피처들의 제 2 에칭을 수행하기 위해 상기 에칭 가스 전달 시스템을 활성화하도록 구성되고, 상기 컨포멀한 패시베이션 막은 상기 제 2 에칭 동안 상기 마스크 및 상기 피처들의 측벽들을 보호하도록 구성되는, 플라즈마 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 컨포멀한 패시베이션 막은 a) 최소 임계 치수 손실, 또는 b) 상이한 재료들 및 상이한 종횡비들 간 최소 임계 치수 로딩, 또는 c) 상이한 재료들 및 상이한 종횡비들 간 최소 프로파일 로딩 중 하나와 함께 상기 제 2 깊이로 상기 피처들의 에칭을 가능하게 하도록 구성되는, 플라즈마 프로세싱 시스템.
  14. 제 12 항에 있어서,
    상기 ALP 프로세스 동안, 상기 제어기는 상기 액체 플로우 제어기 및 상기 증기발생기를 활성화하고, 그리고 이후 상기 증기발생기에 의해 생성되고 상기 피처들에 도포된 상당한 전구체를 경화하기 위해 상기 코일에 상기 RF 전력을 활성화하고, 상기 RF 전력은 산소 가스와 함께 활성화되는, 플라즈마 프로세싱 시스템.
  15. 제 12 항에 있어서,
    상기 챔버는 진공 시스템을 포함하고, 상기 진공 시스템은 상기 ALP 프로세스가 완료될 때마다 상기 제어기에 의해 활성화되는, 플라즈마 프로세싱 시스템.
  16. 제 12 항에 있어서,
    바이어스 전력이 상기 제 1 에칭 및 상기 제 2 에칭 동안, 그리고 상기 증기발생기에 의해 생성되고 상기 피처들에 도포된 상당한 전구체들의 경화 동안 상기 하단 전극에 커플링되고, 상기 바이어스 전력은 상기 제 1 에칭, 상기 제 2 에칭, 및 상기 ALP 프로세스를 프로세싱하기 위해 상기 제어기에 커플링되는, 플라즈마 프로세싱 시스템.
  17. 제 12 항에 있어서,
    주입기가 상기 유전체 윈도우에 배치되고, 상기 주입기는 상기 챔버로 상기 매니폴드들의 출력부들을 커플링하는 경로를 제공하는, 플라즈마 프로세싱 시스템.
  18. 제 17 항에 있어서,
    하나 이상의 측면 주입기들이 상기 챔버의 측벽들에 배치되고, 상기 하나 이상의 측면 주입기들은 상기 매니폴드들의 출력부들로의 하나 이상의 경로들에 커플링되는, 플라즈마 프로세싱 시스템.
  19. 제 12 항에 있어서,
    상기 매니폴드들은 상기 제 1 에칭 및 상기 제 2 에칭 동안 제공된 가스들과 상기 ALP 프로세스 동안 제공된 증기 사이에서 전환하기 위한 복수의 밸브들을 포함하는, 플라즈마 프로세싱 시스템.
  20. 제 12 항에 있어서,
    상기 제 1 에칭, 상기 제 2 에칭, 및 상기 ALP 프로세스는 상기 제 2 에칭 동안 상기 피처들의 상기 측벽들을 보호하는 상기 컨포멀한 패시베이션 막을 형성하기 위해 상기 챔버로부터 상기 기판의 제거 없이 상기 챔버 내부에서 수행되는, 플라즈마 프로세싱 시스템.
  21. 플라즈마 챔버에서 기판을 에칭하기 위한 방법에 있어서,
    플라즈마 챔버에서 하단 전극 위에 기판을 수용하는 단계로서, 상기 기판은 에칭될 상기 피처들의 위치들을 규정하기 위해 상기 기판 위에 제공되는 마스크와 함께, 상기 피처들을 형성하기 위한 에칭될 재료를 갖는, 상기 기판을 수용하는 단계;
    상기 플라즈마 챔버에서, 플라즈마 에칭 프로세스를 사용하여 상기 재료의 제 1 에칭을 수행하는 단계로서, 상기 제 1 에칭은 상기 재료의 제 1 깊이로 피처들을 형성하기 위해 플라즈마 에칭 가스들을 사용하는, 상기 재료의 제 1 에칭을 수행하는 단계;
    상기 플라즈마 챔버로부터 상기 기판의 제거 없이, 상기 플라즈마 챔버에서, 상기 제 1 에칭 동안 형성된 상기 피처들 및 상기 마스크 위에 컨포멀한 패시베이션 막을 증착하기 위해 ALP 프로세스를 수행하는 단계로서, 상기 ALP 프로세스는 상기 피처들 및 상기 마스크 위에 상기 컨포멀한 패시베이션 막을 형성하기 위해 액체 전구체로부터 증기를 사용하는, 상기 ALP 프로세스를 수행하는 단계; 및
    상기 플라즈마 챔버에서, 상기 재료의 제 2 깊이로 상기 피처들을 형성하기 위해 상기 플라즈마 에칭 프로세스를 사용하여 상기 재료의 제 2 에칭을 수행하는 단계로서, 상기 컨포멀한 패시베이션 막은 상기 제 2 에칭 동안 상기 마스크 및 상기 피처들의 측벽들을 보호하도록 구성되는, 상기 재료의 제 2 에칭을 수행하는 단계를 포함하는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  22. 제 21 항에 있어서,
    상기 컨포멀한 패시베이션 막은 a) 최소 임계 치수 손실, 또는 b) 상이한 재료들 및 상이한 종횡비들 간 최소 임계 치수 로딩, 또는 c) 상이한 재료들 및 상이한 종횡비들 간 최소 프로파일 로딩 중 하나와 함께 상기 제 2 깊이로 상기 피처들의 에칭을 가능하게 하도록 구성되는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  23. 제 21 항에 있어서,
    상기 ALP 프로세스는:
    (a) 상기 마스크 및 상기 피처들 위에 상당한 양의 전구체를 코팅하기 위해 상기 플라즈마 챔버 내 상기 액체 전구체의 증기를 도입하는 단계;
    (b) 상기 컨포멀한 패시베이션 막의 원자 층을 형성하기 위해 상기 상당한 양의 전구체를 경화하는 단계; 및
    (c) 타겟 두께를 갖는 컨포멀한 패시베이션 막이 형성될 때까지 상기 단계 (a) 의 상기 액체 전구체의 증기의 도입 및 상기 단계 (b) 의 상기 상당한 양의 전구체의 경화를 반복하는 단계를 포함하는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  24. 제 21 항에 있어서,
    상기 액체 전구체의 증기는 액체 전달 시스템을 사용하여 상기 플라즈마 챔버 내로 도입되고, 상기 플라즈마 에칭 가스들은 에칭 가스 전달 시스템을 사용하여 상기 플라즈마 챔버 내로 도입되고, 상기 액체 전달 시스템 및 상기 에칭 가스 전달 시스템은 상기 재료의 상기 제 1 에칭 및 상기 제 2 에칭 동안 상기 플라즈마 에칭 가스들을 도입하고 상기 ALP 프로세스 동안 상기 액체 전구체의 증기를 도입하기 위해 제어기에 의해 제어된 매니폴드들에 커플링되는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  25. 제 23 항에 있어서,
    상기 ALP 프로세스 동안, 상기 플라즈마 챔버의 퍼지가 상기 단계 (a) 및 상기 단계 (b) 를 수행한 후 수행되고, ALP 사이클은 상기 단계 (a) 및 상기 단계 (b) 가 반복될 때마다 완료되는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  26. 제 25 항에 있어서,
    ALP 사이클 각각은 단일 원자 단층을 생성하고, 특정한 타겟 두께를 갖는 컨포멀한 막은 상이한 재료들 및 상이한 종횡비들에 대해 실질적으로 동일한 두께 및 실질적으로 동일한 품질로 상기 특정한 횟수의 ALP 사이클들을 수행함으로써 형성될 수 있는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
  27. 제 23 항에 있어서,
    상기 ALP 프로세스 동안, 상기 단계 (a) 및 상기 단계 (b) 가 반복될 때마다, 상기 컨포멀한 패시베이션 막의 단일 원자 단층이 형성되고, 그리고 상기 컨포멀한 패시베이션 막의 두께는 상기 단일 원자 단층 또는 복수의 원자 단층들에 의해 규정되는, 플라즈마 챔버에서 기판을 에칭하기 위한 방법.
KR1020207006349A 2017-08-04 2018-07-17 TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법 KR20200028489A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247021435A KR20240104224A (ko) 2017-08-04 2018-07-17 TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/669,871 2017-08-04
US15/669,871 US10950454B2 (en) 2017-08-04 2017-08-04 Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
PCT/US2018/042560 WO2019027672A1 (en) 2017-08-04 2018-07-17 INTEGRATED ATOMIC LAYER PASSIVATION IN TCP ETCHING CHAMBER AND ALP IN SITU ETCHING METHOD

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247021435A Division KR20240104224A (ko) 2017-08-04 2018-07-17 TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법

Publications (1)

Publication Number Publication Date
KR20200028489A true KR20200028489A (ko) 2020-03-16

Family

ID=65229945

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020247021435A KR20240104224A (ko) 2017-08-04 2018-07-17 TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법
KR1020207006349A KR20200028489A (ko) 2017-08-04 2018-07-17 TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020247021435A KR20240104224A (ko) 2017-08-04 2018-07-17 TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법

Country Status (6)

Country Link
US (2) US10950454B2 (ko)
JP (1) JP7391830B2 (ko)
KR (2) KR20240104224A (ko)
CN (1) CN110998805B (ko)
TW (1) TWI759516B (ko)
WO (1) WO2019027672A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113169094A (zh) 2018-09-28 2021-07-23 朗姆研究公司 避免沉积副产物积聚的真空泵保护
JP7330078B2 (ja) * 2019-11-25 2023-08-21 東京エレクトロン株式会社 エッチング方法およびエッチング装置
TWI714366B (zh) * 2019-11-26 2020-12-21 聚昌科技股份有限公司 線圈垂直位置可動態調整之蝕刻機結構
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices
CN111584411A (zh) * 2020-06-11 2020-08-25 中国科学院微电子研究所 半导体加工设备、沉积钝化层方法及pram制作方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140208A (en) * 1999-02-05 2000-10-31 International Business Machines Corporation Shallow trench isolation (STI) with bilayer of oxide-nitride for VLSI applications
JP2004327606A (ja) 2003-04-23 2004-11-18 Denso Corp ドライエッチング方法
US20080245770A1 (en) 2005-10-11 2008-10-09 Aviza Technology Limited Positive Displacement Pumping Chamber
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
WO2008153674A1 (en) * 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
EP2362411A1 (en) * 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9230825B2 (en) * 2012-10-29 2016-01-05 Lam Research Corporation Method of tungsten etching
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10937634B2 (en) * 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
WO2015143371A1 (en) * 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US9704973B2 (en) 2014-04-01 2017-07-11 Globalfoundries Inc. Methods of forming fins for FinFET semiconductor devices and the selective removal of such fins
US9711365B2 (en) 2014-05-02 2017-07-18 International Business Machines Corporation Etch rate enhancement for a silicon etch process through etch chamber pretreatment
US9431297B2 (en) 2014-10-01 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure for a semiconductor device
GB201420366D0 (en) * 2014-11-17 2014-12-31 Univ Liverpool Dielectric barrier layer
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9934956B2 (en) 2015-07-27 2018-04-03 Lam Research Corporation Time multiplexed chemical delivery system
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications

Also Published As

Publication number Publication date
CN110998805A (zh) 2020-04-10
TW201920733A (zh) 2019-06-01
WO2019027672A1 (en) 2019-02-07
US10950454B2 (en) 2021-03-16
KR20240104224A (ko) 2024-07-04
US20190043728A1 (en) 2019-02-07
US20210287909A1 (en) 2021-09-16
CN110998805B (zh) 2024-06-14
JP2020529739A (ja) 2020-10-08
TWI759516B (zh) 2022-04-01
JP7391830B2 (ja) 2023-12-05

Similar Documents

Publication Publication Date Title
US11170997B2 (en) Atomic layer deposition and etch for reducing roughness
US9991128B2 (en) Atomic layer etching in continuous plasma
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
US11211253B2 (en) Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10714354B2 (en) Self limiting lateral atomic layer etch
US10615169B2 (en) Selective deposition of SiN on horizontal surfaces
US20210287909A1 (en) Integrated atomic layer passivation in tcp etch chamber and in-situ etch-alp method
JP7023376B2 (ja) 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング
US20180218915A1 (en) Isotropic etching of film with atomic layer control
US20180033657A1 (en) Pressure purge etch method for etching complex 3-d structures
KR20210011493A (ko) 고 종횡비 구조체들의 효율적인 세정 및 에칭
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US20240242935A1 (en) Multi-state rf pulsing in cycling recipes to reduce charging induced defects

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
E601 Decision to refuse application
E801 Decision on dismissal of amendment