CN110998805A - Tcp蚀刻室中的集成原子层钝化和原位蚀刻-alp方法 - Google Patents

Tcp蚀刻室中的集成原子层钝化和原位蚀刻-alp方法 Download PDF

Info

Publication number
CN110998805A
CN110998805A CN201880050870.6A CN201880050870A CN110998805A CN 110998805 A CN110998805 A CN 110998805A CN 201880050870 A CN201880050870 A CN 201880050870A CN 110998805 A CN110998805 A CN 110998805A
Authority
CN
China
Prior art keywords
etch
plasma
alp
chamber
passivation film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880050870.6A
Other languages
English (en)
Inventor
周翔
汤姆·A·坎普
木村吉江
张杜明
许晨
约翰·德鲁厄里
亚历克斯·帕特森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN110998805A publication Critical patent/CN110998805A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于蚀刻衬底的方法,其包括使用等离子体蚀刻工艺在等离子体室中对衬底材料进行第一蚀刻。第一蚀刻使特征在材料中形成至第一深度。在第一蚀刻之后,该方法包括在没有从室中去除衬底的情况下,在等离子体室中执行原子层钝化(ALP)工艺,以在掩模和第一蚀刻期间形成的特征上沉积共形的钝化膜。ALP工艺使用来自液态前体的蒸气以在特征和掩模上形成钝化层。该方法还包括使用等离子体蚀刻工艺在等离子体室中对材料进行第二蚀刻。共形的钝化膜被配置为在第二蚀刻期间保护掩模和特征的侧壁。还描述了等离子体处理系统。

Description

TCP蚀刻室中的集成原子层钝化和原位蚀刻-ALP方法
背景技术
在半导体制造业中,对于高深宽比的等离子体蚀刻,采用例如闪蒸和蚀刻副产物再沉积形式的基于等离子体的钝化技术来维持侧壁轮廓并避免横向蚀刻到器件区域中。这些基于等离子体的钝化技术不仅依赖于深宽比,这导致隔离和密集特征之间的负载,而且还依赖于材料,这导致不同材料之间的负载。基于等离子体的钝化(例如O2闪蒸)也会通过氧化消耗目标特征上的材料,从而导致关键尺寸(CD)损失。
在当前的半导体制造工艺中,蚀刻和原子层沉积(ALD)工艺在分开的平台中进行。将晶片从一个室传送到另一个室可能会出现问题,因为这会导致真空被破坏并增加不需要的颗粒与晶片接触的可能性。而且,通常属于稀HF酸清洁的清洁工艺对掩模有影响,从而对性能产生负面影响。使用分开的室对生产量也会产生不利影响。
在此背景下出现了这些实施方案。
发明内容
在一示例性实施方案中,一种在等离子体室中蚀刻衬底的方法包括:将所述衬底接收在所述等离子体室内在底部电极上方。所述衬底具有待被蚀刻以形成特征的材料,其中掩模被提供在所述衬底上方以限定待被蚀刻的所述特征的位置。所述方法还包括使用等离子体蚀刻工艺在所述等离子体室中对所述材料执行第一蚀刻。所述第一蚀刻使用等离子体蚀刻气体以在所述材料中使特征形成至第一深度。在所述第一蚀刻之后,所述方法包括在所述等离子体室中执行原子层钝化(ALP)工艺,以在所述掩模和在所述第一蚀刻过程中形成的所述特征上沉积共形的钝化膜。所述ALP工艺包括:(a)引入液态前体的蒸气至所述等离子体室以在所述掩模和所述特征上涂覆一定量的前体;(b)固化所述一定量的前体以形成所述共形的钝化层的原子单层;并且(c)重复在(a)中的所述引入液态前体的蒸气和在(b)中的所述固化所述一定量的前体,直到形成具有目标厚度的共形的钝化膜而没有从所述等离子体室去除所述衬底。在所述ALP工艺之后,所述方法还包括:使用所述等离子体蚀刻工艺在所述等离子体室中对所述材料执行第二蚀刻。所述第二蚀刻使用等离子体蚀刻气体以在所述材料中使特征形成至第二深度,其中所述共形的钝化膜被配置为在所述第二蚀刻期间保护所述特征的侧壁和所述掩模。
在一实施方案中,所述共形的钝化膜被配置为使得能够在或者a)关键尺寸损失最小、或者b)不同材料和不同深宽比之间的关键尺寸负载最小、或者c)不同材料和不同深宽比之间的轮廓负载最小的情况下将所述特征蚀刻至所述第二深度。
在一实施方案中,使用液体输送系统将所述液态前体的蒸气引入到所述等离子体室中,并且使用蚀刻气体输送系统将所述等离子体蚀刻气体引入到所述等离子体室中。所述液体输送系统和所述蚀刻气体输送系统耦合至由控制器控制的歧管,以在对所述材料的所述第一蚀刻和所述第二蚀刻期间引入所述等离子体蚀刻气体,并在所述ALP工艺期间引入所述液态前体的所述蒸气。
在一实施方案中,在所述ALP工艺期间,所述固化所述一定量的前体包括将射频(RF)功率与氧气一起施加至所述等离子体室的电极以执行等离子体闪蒸工艺。所述等离子体闪蒸工艺可以被处理持续介于约0.5秒至约4秒之间的时间段,并且所述RF功率可以以介于约200瓦至约3,000瓦之间的功率电平施加。
在一实施方案中,在所述ALP工艺期间,在执行(a)和(b)之后进行所述等离子体室的清扫,其中,每次重复(a)和(b),都完成ALP循环。在一实施方案中,每个ALP循环产生单个原子单层,并且能够通过执行特定数量的所述ALP循环来形成具有特定目标厚度的共形膜。在一实施方案中,在所述APL工艺期间,每次重复(a)和(b),就形成所述共形的钝化膜的单个原子单层,并且通过所述单个原子单层或多个原子单层限定所述共形的钝化膜的所述厚度。
在一实施方案中,所述方法还包括:在所述第二蚀刻之后执行一个或多个附加蚀刻,其中在执行每个附加蚀刻之前,执行ALP工艺以形成相应的共形的钝化膜。通过重复(a)和(b)多次以达到所述共形的钝化膜的所述目标厚度来限定所述共形的钝化膜。在一实施方案中,所述共形的钝化膜沉积在所述特征的所述侧壁和所述掩模上,而不消耗所述特征的所述侧壁的任何大量材料。在一实施方案中,待蚀刻的所述材料包括硅、或硅锗、或锗,并且所述共形的钝化膜不消耗在所述特征的侧壁中的任何大量的材料并且在不同的材料上形成基本相同质量的钝化。
在另一示例性实施方案中,一种等离子体处理系统包括:包括处理区域的室;底部电极,其设置在所述室中所述处理区域下方;和介电窗,其设置在所述处理区域上方且在所述底部电极上方。线圈设置在所述介电窗上方,以用于向所述处理区域提供射频(RF)功率。所述等离子体处理系统还包括耦合到一个或多个气体源的蚀刻气体输送系统,所述蚀刻气体输送系统用于在衬底设置在所述底部电极上方时对所述衬底的材料进行第一蚀刻以形成特征。所述蚀刻气体输送系统具有耦合至歧管的输出端。所述蚀刻气体输送系统还包括液体输送系统,其包括液态前体源、耦合至所述液态前体源的液体流量控制器以及耦合至所述液体流量控制器的汽化器。所述液体输送系统具有耦合至所述歧管的输出端,其中所述歧管由控制器控制。所述控制器被配置为启动所述蚀刻气体输送系统以执行所述第一蚀刻,并且被配置为至少启动所述液体输送系统以在所述第一蚀刻之后执行原子层钝化(ALP)工艺,从而用共形的钝化膜涂覆在所述第一蚀刻期间形成的所述特征。所述ALP工艺可以被完成一次或多次,并且每次形成所述共形的钝化膜的单个原子单层。所述控制器还被配置为启动所述蚀刻气体输送系统以执行所述特征的第二蚀刻,其中所述共形的钝化膜被配置为在所述第二蚀刻期间保护所述掩模和所述特征的侧壁。
在一实施方案中,所述共形的钝化膜被配置为使得能够在或者a)关键尺寸损失最小、或者b)不同材料和不同深宽比之间的关键尺寸负载最小、或者c)不同材料和不同深宽比之间的轮廓负载最小的情况下将所述特征蚀刻至所述第二深度。
在一实施方案中,在所述ALP工艺期间,所述控制器被配置为启动所述液体流量控制器和所述汽化器,然后启动至所述线圈的所述RF功率以固化由所述汽化器产生并施加在所述特征上的一定量的前体,其中所述RF功率与氧气一起被启动。
在一实施方案中,所述室包括真空系统,所述真空系统在每次所述ALP工艺完成后由所述控制器启动。在一实施方案中,在所述第一蚀刻和第二蚀刻期间,以及在由所述汽化器产生并施加在所述特征上的所述一定量的前体的固化期间,将偏置功率耦合到所述底部电极。将所述偏置功率耦合到所述控制器,以用于处理所述第一蚀刻、所述第二蚀刻和所述ALP工艺。
在一实施方案中,在所述介电窗中布置注入器,所述注入器提供将所述歧管的输出端耦合至所述室的路径。在一实施方案中,在所述室的侧壁中设置一个或多个侧注入器,所述一个或多个侧注入器耦合至通向所述歧管的输出端的一条或多条路径。在一实施方案中,所述歧管包括多个阀,所述多个阀用于在所述第一蚀刻和所述第二蚀刻期间提供的气体与在所述ALP工艺期间提供的蒸气之间进行切换。
在一实施方案中,在所述室内进行所述第一蚀刻、所述第二蚀刻和所述ALP工艺,而不从所述室去除所述衬底,以形成在所述第二蚀刻期间保护所述特征的所述侧壁的所述共形的钝化膜。
在又一示例性实施方案中,一种在等离子体室中蚀刻衬底的方法包括:将所述衬底接收在所述等离子体室内底部电极上方。所述衬底具有待被蚀刻以形成特征的材料,并且掩模被提供在所述衬底上方以限定待被蚀刻的所述特征的位置。所述方法还包括:使用等离子体蚀刻工艺在所述等离子体室中对所述材料执行第一蚀刻。所述第一蚀刻使用等离子体蚀刻气体以在所述材料中使特征形成至第一深度。在所述第一蚀刻之后,所述方法包括:在没有从所述等离子体室去除所述衬底的情况下,在所述等离子体室中执行原子层钝化(ALP)工艺,以在所述掩模和在所述第一蚀刻过程中形成的所述特征上沉积共形的钝化膜。所述ALP工艺使用来自液体前体的蒸气以在所述特征和所述掩模上形成共形的钝化膜。在所述ALP工艺之后,所述方法包括:使用所述等离子体蚀刻工艺在所述等离子体室中对所述材料执行第二蚀刻,以在所述材料中使特征形成至第二深度,所述共形的钝化膜被配置为在所述第二蚀刻期间保护所述特征的侧壁和所述掩模。
在一实施方案中,所述ALP工艺包括:(a)引入所述液态前体的所述蒸气至所述等离子体室以在所述掩模和所述特征上涂覆一定量的前体;(b)固化所述一定量的前体以形成所述共形的钝化层的原子层;并且(c)重复在(a)中的所述引入液态前体的蒸气和在(b)中的所述固化所述一定量的前体,直到形成具有目标厚度的共形的钝化膜。
在一实施方案中,使用液体输送系统将所述液态前体的蒸气引入到所述等离子体室中,并且使用蚀刻气体输送系统将所述等离子体蚀刻气体引入到所述等离子体室中。所述液体输送系统和所述蚀刻气体输送系统耦合至由控制器控制的歧管,以在对所述材料的所述第一蚀刻和所述第二蚀刻期间引入所述等离子体蚀刻气体,并在所述ALP工艺期间引入所述液态前体的所述蒸气。
在一实施方案中,在所述ALP工艺期间,在执行(a)和(b)之后进行所述等离子体室的清扫,其中,每次重复(a)和(b),都完成ALP循环。在一实施方案中,每个ALP循环产生单个原子单层,并且能够通过执行特定数量的所述ALP循环来形成具有特定目标厚度的共形膜,其中对于不同材料和不同的深宽比具有基本相同的厚度和基本相同的质量。在一实施方案中,在所述ALP工艺期间,每次重复(a)和(b),就形成所述共形的钝化膜的单个原子单层,并且通过所述单个原子单层或多个原子单层限定所述共形的钝化膜的所述厚度。
通过以下结合附图的详细描述,本发明的其他方面和优点将变得显而易见,所述附图通过示例的方式示出了本发明的原理。
附图说明
图1是示出用于蚀刻操作的等离子体处理系统的一个实施方案的示意性截面图。
图2A和2B分别提供了一个实施方案的气体注入器的截面图和仰视图。
图3A是根据一个实施方案给出的用于蚀刻操作的等离子体处理系统的示意性截面图。
图3B是根据一个实施方案示出的液体输送系统的其他细节的示意图。
图4A是根据示例性实施方案示出在蚀刻衬底中执行的方法操作的流程图。
图4B是根据示例实施方案示出与在衬底的蚀刻中执行的原子层钝化(ALP)操作有关的附加细节的流程图。
图5根据一个实施方案示出了浅沟槽隔离特征(STI)的示例,该浅沟槽隔离特征(STI)具有沉积在STI特征上方的共形原子层钝化(ALP)膜,其中在同一室中蚀刻STI特征和沉积ALP膜。
图6A示出了根据常规处理技术在相同蚀刻室中进行的O2闪蒸用作用于蚀刻工艺的钝化的用途,并且示出了这如何导致深宽比依赖性和材料依赖性钝化的形成。
图6B根据一个实施方案示出了原位原子层钝化(ALP)用于蚀刻工艺的用途,并且示出了这如何与深宽比无关和与材料无关的钝化的形成。
图7是用于实现本发明的实施方案的计算机系统的简化示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以便提供对示例性实施方案的透彻理解。然而,对于本领域技术人员显而易见的是,可以在没有这些具体细节中的一些的情况下实践示例性实施方案。在其他情况下,工艺操作和实现细节如果已公知,则没有进行详细描述。
本发明的实施方案提供了原子层钝化(ALP)在等离子体蚀刻室中的用途。不是通过使用1)在单独的室中进行的原子层沉积(ALD),也不是通过使用2)基于等离子体的钝化,而是通过使用原位原子层钝化(ALP),扩大处理窗口,以用于以高深宽比同时蚀刻不同的材料。此外,在ALP中,以受控的化学组成供给钝化材料,使得不从蚀刻结构消耗大量的材料。相反,在基于等离子体的钝化方案中,通过氧化或硝化形成钝化材料,这会消耗衬底材料。此外,如果钝化材料由蚀刻副产物生成,就会缺乏对化学组成的控制。更进一步,因为ALP工艺是共形的,所以ALP工艺是与深宽比无关的,而基于等离子体的钝化是深宽比依赖性的。
图1是根据一个实施方案示出用于蚀刻操作的等离子体处理系统的示意性截面图。该系统包括室132,室132包括室主体114、卡盘116和介电窗106。室132包括处理区域,并且介电窗106被设置在处理区域上方。卡盘116可以是用于支撑衬底112的静电卡盘,并且设置在处理区域下方的室中。在一些实施方案中,内部法拉第屏蔽件(未示出)设置在介电窗106下方在室100内部。TCP线圈134设置在介电窗106上方并连接至匹配电路102。
该系统包括可以由一个或多个发生器限定的偏置RF发生器120。如果提供了多个发生器,则可以使用不同的频率来实现各种调谐特性。偏置匹配器118耦合在RF发生器120和组件的限定卡盘116的导电板之间。卡盘116还包括静电电极,使得能卡住晶片和使晶片脱离。一般地,可以提供滤波器和直流钳位电源。还可以使用将晶片从卡盘116上举起的其他控制系统。
第一气体注入器104提供两个不同的通道,以从室的顶部向室喷射两种分离的工艺气体或液态前体(以蒸气形式)流。应当理解,可以提供多个气体供应源向室供应不同的气体,以用于进行各种类型的操作,例如晶片上的处理操作,无晶片自动清洁(WAC)操作以及其他操作。第二气体注入器110提供另一种气流,该种气流通过侧面而不是从顶部进入室。
在一个实施方案中,输送系统128包括蚀刻气体输送系统127和液体输送系统129。歧管122用于选择、切换和/或混合来自各个输送系统的输出物。如将在下面更详细描述的,蚀刻气体输送系统被配置为输出蚀刻气体,该蚀刻气体被优化以蚀刻衬底的一或多个材料层。响应于来自控制器108的控制信号,歧管122被进一步优化以执行原子层钝化(ALP)。在一个实施方案中,原子层钝化(ALP)工艺在一个或多个循环中执行,其中每个循环在衬底上方被蚀刻的特征上方产生自限制性的钝化原子单层。在ALP工艺中,液态前体被汽化并以蒸气形式输送到室132中,以使晶片表面配料达到饱和。要注意的是,使晶片表面配料达到饱和也被称为“浸泡”晶片表面至饱和。一旦给晶片投配前体,歧管122就会停止输送蒸气。被配料的晶片会经历闪蒸工艺,该过程包括使用RF发生器121和120为室供电并将氧气(O2)输送至室中,以固化前体。该闪蒸工艺被称为“O2闪蒸”操作,因为输送RF功率所经历的时间相对较快,例如在约0.5秒至约4秒之间。一旦O2闪蒸操作完成,室就被清扫。
在ALP工艺中,可以使用能够形成共形原子层的任何合适的液态前体。作为非限制性实例,液态前体可以具有一般类型的组成,即C(x)H(y)N(z)O(a)Si(b)。在一些实施方案中,液态前体具有以下组成之一:C6H19N3Si、C8H22N2Si、C9H23NO3Si和C12H28O4Si。
一旦处理了多个循环,每个循环就形成相应的自限制ALP层,就恢复蚀刻操作。一般而言,蚀刻气体也被称为反应物气体,其是蚀刻衬底特征所必需的物质的来源。反应物气体的示例包括氯(Cl2)、溴化氢(HBr)和六氟化硫(SF6),但是也可以使用其他反应物气体。
在图1的实施方案中,独立的气体流可以被输送到室中。可以通过注入器104的中心注入一种流。也可以通过注入器104注入第二流,但要通过围绕注入器104中心的不同路径注入。第三流可以通过侧面注入器110从侧面注入到室的一侧。在一个实施方案中,气体注入器104还提供进入到处理室中的光学通路,例如沿着从反应室外部的诊断端点通过光学通路窗的轴向路径。有关进入室的光学通路的更多详细信息可以在2011年4月19日发布的名称为“Methods of and Apparatus for Accessing a Process Chamber Using aDual Zone Gas Injector with Improved Optical Access”的美国专利No.7,928,366中找到,其公开内容通过引用并入本文。
已经描述了将气体注入室的多种方式,以说明可以从各个位置向室内提供蚀刻气体和/或液态前体。在某些情况下,仅使用注入器104。在其他情况下,仅使用侧注入器110。在其他情况下,注入器104和侧面注入器110都可以使用。在一种配置中,歧管122控制将哪些气体供应到三个不同的气体管线中的一个。歧管122使得能将任何类型的气体(反应物、调节剂、前体等)提供给三个不同的气体管线中的任何一个。在一些实施方案中,调节气体可以包括诸如氧气(O2)、氦气(He)和甲烷(CH4)之类的气体。气体即可以不混合而送入室中,也可以与其他气体混合后才引入室中。
根据一个实施方案,图2A和2B分别提供了气体注入器104的截面图和仰视图。注入器104包括两个不同的气体通道:第一气体通道304,其用于通过中心将第一流引入室;第二气体通道306,其用于将第二气流通过外部通道(例如,边缘通道)引入。第二气体通道306可包括限定在注入器104的底部中的多个出口。在图2B所示的实施方案中,第二气体通道306具有限定在注入器104的底部中的八(8)个出口,并且这些出口围绕注入器底部的周边以约45度间隔开。
再次参考图1,真空泵130连接到室132,以在操作性等离子体处理期间实现对室的真空压力控制和从室去除气态副产物。阀126设置在排放口124和真空泵130之间,以控制施加到室的真空抽吸量。
介电窗106可以由陶瓷材料或陶瓷型材料限定。其他介电材料也是可能的,只要它们能够承受半导体蚀刻室的条件即可。通常,室在零摄氏度至约200摄氏度之间的高温下工作。温度将取决于蚀刻工艺操作和特定配方。室132还将在约1mTorr(mT)至约500mTorr(mT)之间的真空条件下操作。如本文所使用的,术语“约”和“大约”是指指定的参数可以在合理的公差内变化,例如±20%。
尽管没有全部具体示出,但是当室132安装在洁净室或制造设施中时,室132通常耦合到设施。设施包括尤其提供处理气体、真空、温度控制和环境颗粒控制的管道。这些设施在室132安装在目标制造设施中时耦合至室132。另外,室132可以耦合到传送室,该传送室将使得机械手能利用自动化手段将半导体晶片传送到室132中和从室132中传送出。
提供了可编程控制器108,其用于控制室132及其相关部件的操作。概括地说,控制器108可以被编程为执行由配方定义的室操作。给定的配方可以指定用于操作的各种参数,例如对TCP线圈施加的功率,进入室的气体流量以及施加的真空。应当理解,时序、持续时间、幅值或任何其他可调参数或可控特征可以由配方限定并且可以由控制器执行,从而控制室132及其相关部件的操作。另外,可以将一系列配方编程到控制器108中。在一个实施方案中,该配方被配置为处理蚀刻操作,并且包括在蚀刻操作中的每个之间执行的原子层钝化(ALP)工艺的一个或多个循环。
在一个实施方案中,控制器被配置为启动蚀刻气体输送系统以进行第一蚀刻。在该实施方案中,控制器还被配置为至少启动液体输送系统以在第一蚀刻之后执行原子层钝化(ALP)工艺,以用共形的钝化膜覆盖第一蚀刻期间形成的特征。在一个实施方案中,通过首先用前体给晶片表面配料至饱和,并且接着固化前体以形成钝化膜,来涂覆特征。ALP工艺可以一次或多次完成,并且每次形成共形的钝化膜的单个原子单层。在一个实施方案中,控制器还被配置为启动蚀刻气体输送系统以执行对特征的第二蚀刻。在第二蚀刻期间,共形的钝化膜可保护掩模和特征的侧壁,从而能够在第二蚀刻期间在关键尺寸(CD)损失最小、不同材料和深宽比之间的CD负载最小以及不同材料和深宽比之间的轮廓负载最小的情况下限定特征。在一个实施方案中,控制器还进一步被配置为启动液体流量控制器和汽化器,然后启用至线圈的RF功率以固化由汽化器产生并施加在特征上的一定量的前体。在该实施方案中,RF功率与氧气一起被启动,氧气可以使用蚀刻气体输送系统来提供。在一个实施方案中,控制器被配置为在每次完成ALP工艺之后启动真空系统或涡轮泵以清扫室。
图3A是根据一个实施方案的用于蚀刻操作的等离子体处理系统的示意性截面图。如图3A所示,卡盘116设置在室主体114内,室主体114设置有介电窗106。在一个实施方案中,卡盘116是用于支撑衬底112的静电卡盘。TCP线圈134设置在介电窗106上方并与匹配电路102相连,匹配电路102耦合到RF发生器121。在图3A的实施方案中,输送系统128包括蚀刻气体输送系统127和液体输送系统129。蚀刻气体输送系统127通过导管303将蚀刻气体输送到歧管122。液体输送系统129通过导管301将液态前体(以蒸气形式)输送到歧管122,下文将参考图3B会更详细地解释。歧管122响应于来自控制器108的控制,通过使用例如用于在气体和/或蒸气之间切换的多个阀选择、切换和/或混合输出物,使得来自各个输送系统的输出物能够在适当的时间经由导管305流到室主体114。来自各个输送系统的输出物能够从导管305经由位于室主体顶部的气体注入器104流入室主体114。为了促进室的清扫,室主体114的基部设置有出口115,该出口115与泵117流体流通地连接。在一个实施方案中,泵117是涡轮泵。本领域技术人员应理解,室主体114的基部可设置有多个出口,每个出口均连接至合适的泵。
图3B是根据一个实施方案示出液体输送系统的附加细节的示意图。如图3B所示,液体输送系统129包括液态前体源308、液体流量控制器310和汽化器312。液态前体源308可以通过流动连通耦合到可以提供合适液态前体的设施上。如上所述,可以使用能够形成共形原子单层的任何液态前体。液态前体从源308流到液体流量控制器310,液体流量控制器310基于从控制器108接收的指令来调节流量(例如,参见图3A)。在一实施方案中,液态前体的量为约50微升至约1,000微升。液态前体从液体流量控制器310流到汽化器312,汽化器312将液态前体从液体状态转化成蒸气状态。汽化的前体流到歧管122,歧管122基于从控制器108接收的控制,在适当的时间将汽化的前体供应到气体注入器104(例如,参见图1)。汽化的前体通过气体注入器104流入由室主体114(参见例如图1)限定的室132中。
图4A是根据示例性实施方案示出在蚀刻衬底中执行的方法操作的流程图。在操作400中,根据公知的技术蚀刻晶片之类的衬底。在一个实施方案中,衬底被接纳在等离子体室(例如TCP蚀刻室)内在底部电极上方。衬底有待刻蚀的材料,例如导体,以在材料中形成特征,并且在衬底上方提供掩模以限定特征的待刻蚀的位置。等离子体蚀刻工艺使用等离子体蚀刻气体以在材料中形成特征。在一个实施方案中,执行第一蚀刻以使材料中的特征形成至第一深度。第一深度可以是最终深度的任何合适的百分比,例如20%、30%、40%、50%等。如将在下面更详细地说明的,随后可以进行第二蚀刻以使材料中特征形成至第二深度。在一个实施方案中,第二深度是最终深度;然而,本领域技术人员应理解,可以执行超过两个的蚀刻工艺以将特征限定到最终深度。在蚀刻操作(例如,第一蚀刻)完成之后,在操作402中,清扫室。返回参考图3A,在一个实施方案中,室通过出口115被清扫,该出口115与泵117流动连通地耦合。
一旦清扫室,就在操作404中,在等离子体室中执行原子层钝化(ALP)。在ALP操作中,共形的钝化层沉积在掩模和在蚀刻操作(例如第一蚀刻)期间形成的特征上。关于ALP操作的附加细节在下文参考图4B描述。在完成ALP操作(其可重复多次以形成厚度大于单个原子单层的钝化膜)后,在操作400-2中,执行使用等离子体蚀刻工艺对材料进行的第二蚀刻,以使材料中的特征限定至第二深度。在第二蚀刻期间,共形的钝化层保护掩模和特征的侧壁,使得能够将特征蚀刻至第二深度。
图4B是根据示例实施方案示出关于在衬底的蚀刻中执行的原子层钝化(ALP)操作的附加细节的流程图。在一个实施方案中,ALP操作(参见图4A中的操作404)包括操作404-1、404-2、404-3和404-4。在操作404-1中,将液态前体施加到衬底的表面以使衬底表面配料至饱和。上文结合图1的描述已经列出了合适的前体的示例。在一个实施方案中,使用液体输送系统(LDS)将液态前体供应到室。举例来说,如图3A和3B所示的液体输送系统(LDS)129可用于将液态前体供应至室。如上所述,LDS 129使液态前体汽化并将汽化的前体输送至室。一旦衬底(例如晶片)被投配了前体,在操作404-2中,将执行O2闪蒸以固化前体,并在等离子体蚀刻工艺(如第一蚀刻)期间在掩模以及材料中形成的特征上形成共形的钝化原子单层。通过向等离子体室的RF发生器(例如,图1所示的RF发生器121和120)供电并将氧气(O2)引入室中来进行O2闪蒸。举例来说,可使用例如图1和3A所示的蚀刻气体输送系统127将氧气引入室。在一实施方案中,以约500sccm至约2,500sccm的流率将氧气引入室。在一实施方案中,以约200瓦至约3,000瓦之间的功率电平施加RF功率。一旦通常花费约0.5秒至约4秒O2闪蒸完成后,就在操作404-3中,清扫室。可以使用与室的出口流动连通的合适的泵清扫室。举例来说,所示的与出口115(见图3A)流动连通的泵117可用于清扫室。在一实施方案中,泵117是涡轮泵。在一个实施方案中,清扫操作花费约2秒,并且ALP操作的一个循环持续约4秒到约15秒的时间段。
在操作404-4中,确定是否要形成额外的ALP单层。如果不需要额外的ALP单层,则蚀刻衬底的方法从ALP操作400进行到蚀刻操作400-2,如图4A所示。如果要形成额外的ALP单层,则重复操作404-1、404-2和404-3,以形成另一个ALP单层。通过执行操作404-1、404-2和404-3形成ALP单层可以重复进行多个循环,以达到足以用于特定应用的钝化水平。由于每个ALP单层的厚度通常在约1.0埃至约1.3埃的范围内,因此ALP操作可以包括多个循环以形成具有所需目标厚度(例如5埃、10埃、20埃等)的整体钝化膜。在一个实施方案中,ALP操作包括1-100个循环。在其他实施方案中,ALP操作可以包括1-10个循环,10-60个循环,20-30个循环等。
图5根据一个实施方案示出了浅沟槽隔离(STI)特征的示例,该浅沟槽隔离(STI)特征具有沉积在STI特征上方的共形原子层钝化(ALP)膜,其中在同一室中蚀刻STI特征以及沉积ALP膜。如图5所示,STI特征包括已经被蚀刻到例如硅之类的导电材料中的多个沟槽502,以限定多个结构504。在蚀刻工艺期间使用的掩模506保持在每个结构504的上方。在一个实施方案中,掩模506由氮化硅形成,然而,也可以使用其他合适的掩模材料。ALP膜508以共形的方式覆盖沟槽502和结构504的表面。如图5所示的下部厚度指示器所示,在沟槽502的底部附近的区域中的共形ALP膜508a具有约52埃的厚度。如图5所示的中部厚度指示器所指示的,沿着结构504的侧壁的区域中的共形ALP膜508b也具有大约52埃的厚度。如图5所示的顶部厚度指示器所指示的,在围绕掩模506的区域中的共形ALP膜508c具有约56埃的厚度。因此,位于结构顶部的厚度指示器、沿着结构的侧壁的厚度指示器和在结构底部附近的厚度指示器证明了沉积在结构上的ALP膜的共形性质。注意,ALP还沿不同的深宽比沉积相同的量,并且均匀地沉积在整个衬底(例如晶片)上。
如上所述,典型的ALP单层具有范围在约1.0埃至约1.3埃的厚度。因此,为了沉积如图5的示例所示厚度为约50埃的共形ALP膜,必须重复ALP操作至少35-40个循环(假设ALP单层具有约1.3埃的厚度)。
图6A示出了使用根据常规处理技术在同一个蚀刻室中进行的O2等离子体闪蒸作为钝化以进行蚀刻工艺,并说明了这如何导致深宽比依赖以及材料依赖性钝化的形成。图6A示出了在等离子体蚀刻室中对衬底执行了第一蚀刻工艺之后,在衬底600中限定的多个结构602和603。为了能够形成两种类型的晶体管(例如,n型和p型),结构602(左侧的两个结构)由化学组成不同于衬底材料的材料形成,例如由锗化硅(SiGe)形成,并且结构603(右侧的两个结构)由衬底材料(例如硅)形成。掩模604的在蚀刻工艺中使用的部分设置在结构602和603中的每个之上。第一蚀刻将第一深度延伸到衬底600的材料中,其中第一深度比整个蚀刻工艺的最终深度浅,最终深度将在一个或多个额外的蚀刻工艺中达到。在执行第一蚀刻之后,将O2等离子体闪蒸用于同一等离子体蚀刻室内的钝化。在氧气存在下施加RF功率的O2等离子体闪蒸期间,如此形成的等离子体中的氧气导致在衬底上发生氧化。例如,由硅形成的结构603与氧反应以形成SiOx钝化膜603-1。类似地,由不同材料(诸如SiGe)形成的结构602与氧反应以形成钝化膜602-1,例如SiGeOx。
在执行O2闪蒸之后,第二蚀刻工艺用于将结构602和603限定到第二深度。在第二蚀刻期间,钝化膜602-1和603-1被蚀刻掉。由于在O2闪蒸工艺中这些钝化膜的产生消耗了结构602和603的材料,因此,在蚀刻工艺后由于侧壁的材料损失以及蚀刻,致密区域中的结构602、602-2(由硅形成)和603具有弯曲的侧壁构型。特别地,由O2闪蒸形成的SiGeOx钝化比SiOx钝化弱,并且在第二蚀刻中比SiOx更快被腐蚀。因此,在第二蚀刻之后存在轮廓差异。例如,在图6A中,由例如SiGe形成的区域602比由例如Si形成的区域602-2更弯曲。这些弯曲轮廓是有问题的,因为弯曲轮廓对于FinFET晶体管是不可接受的。位于隔离(“iso”)区域附近的结构603(最右边的结构603)具有较厚的区域603-2,该区域由于对氧自由基的暴露增加而具有锥形的轮廓。特别地,隔离(“iso”)区域的相对开放的性质允许更多的氧自由基到达该结构并导致形成更钝化。钝化水平的提高导致第二蚀刻后,在“iso”区域中的硅轮廓锥形更明显。
图6B根据一个实施方案示出了原位原子层钝化(ALP)用于蚀刻工艺的用途,并且示出了这如何导致深宽比独立性和材料独立性钝化的形成。类似于图6A,图6B示出了在等离子体蚀刻室中已经在衬底上执行了第一蚀刻工艺之后在衬底600中限定的多个结构602和603。结构602(左侧的两个结构)由具有与衬底材料不同的化学组成的材料形成,例如由锗化硅(SiGe)组成,并且结构603(右侧的两个结构)由衬底材料(例如硅)形成。在蚀刻工艺中使用的掩模604的一部分设置在结构602和603中的每一个上。第一蚀刻将第一深度延伸到衬底600的材料中,其中第一深度比整个蚀刻工艺的最终深度浅,该最终深度将在一或多个附加的蚀刻工艺中达到。在第一蚀刻蚀刻之后,衬底600保留在等离子体蚀刻室中,并且进行原位原子层钝化(ALP)工艺。如本文所述,在ALP工艺中,将用由液态前体的蒸气形成的自限制单层涂覆到衬底600上,然后通过将RF功率与氧气一起施加到等离子体室的电极上来固化前体以执行等离子体闪蒸工艺。ALP工艺导致形成钝化膜605-A,该钝化膜605-A均匀地涂覆结构602和603以及掩模604,并且该膜的厚度是所执行的ALP循环的数量的函数。一旦完成ALP工艺并且清扫了等离子体蚀刻室,就在衬底600上执行第二蚀刻工艺,而无需从等离子体蚀刻室去除衬底,以将结构602和603限定到第二深度。在第二蚀刻期间,钝化膜605-A被蚀刻掉。与图6A所示的钝化膜相比,钝化膜605-A的去除不涉及任何材料的显著损失,因为ALP工艺提供了外部钝化源,即以蒸气形式给等离子体蚀刻室供应的液态前体。由于关键尺寸(CD)的任何损失都是最小的,因此在蚀刻之后,密集区域中的结构602和603具有基本均匀的构造。这种最小的CD损失减少了对设计规则的违反,这在半导体制造工艺中必须得到满足。与隔离(“iso”)区域相邻的结构603(最右边的结构603)包括区域603-B。与图6A所示的区域603-2的厚度增加相反,图6B所示的区域603-B具有基本均匀的构造,因为在“iso”特征上形成的钝化量与通过ALP在密集特征上形成的钝化量相同。
如图6B所示,由于钝化与材料无关,因此ALP可以在Si和SiGe之间实现相同的关键尺寸(CD)。也就是说,在之后的蚀刻步骤中,Si和SiGe上的ALP具有相同的腐蚀速率。此外,ALP消除了Si和SiGe之间的轮廓差异,即,在由这些不同材料形成的特征之间没有锥度/弓形差异。
由于ALP工艺需要在衬底位于等离子体蚀刻室中时在衬底上沉积钝化膜,因此应定期清洁等离子体蚀刻室。在一个实施方案中,使用在晶片处理之间执行的无晶片自动清洁(WAC)工艺来清洁等离子体蚀刻室。在一个实施方案中,在处理每个晶片之后执行WAC工艺。在另一个实施方案中,在处理每批晶片之后执行WAC工艺。本领域技术人员将理解,可以在适合满足特定应用需求的任何间隔下执行WAC工艺,例如在每个晶片之后,每隔一个晶片之后,每十个晶片之后,每批晶片之后等等执行WAC工艺。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传输到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传输到系统。在一些实例中,控制器接收数据形式的指令,该指令指示在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、跟踪室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器110可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口的材料搬运中使用的工具通信。
图7是用于实现本发明的实施方式的计算机系统的简化示意图。应当理解的是,本文描述的方法可以与数字处理系统一起执行,例如与常规的通用计算机系统一起执行。被设计或编程成执行仅一个功能的专用计算机可在替代方案中使用。计算机系统1000包括中央处理单元(CPU)1004,其通过总线1010耦合到随机存取存储器(RAM)1028、只读存储器(ROM)1012和大容量存储设备1014。系统控制器程序1008驻留在随机访问存储器(RAM)1028内,但也可以驻留在大容量存储设备1014内。
大容量存储设备1014表示持久的数据存储设备,如软盘驱动器或固定盘驱动器,其可以是本地或远程的。网络接口1030提供经由网络1032的连接,允许与其它设备通信。但应当理解的是,CPU 1004可体现在通用处理器、专用处理器或专门编程逻辑设备内。输入/输出(I/O)接口1020提供与不同的外围设备的通信,并且通过总线1010与CPU 1004、RAM1028、ROM 1012和大容量存储设备1014连接。外围设备实例包括显示器1018、键盘1022、光标控制1024、可移动媒体设备1034,等等。
显示器1018被配置成显示本文所描述的用户接口。键盘1022、光标控制(鼠标)1024、可移除媒体设备1034和其它外围设备被耦合到I/O接口1020,以便在命令选择中向CPU 1004传送信息。应该理解的是,出入外部设备的数据可通过I/O接口1020传输。实施方式也可以在分布式计算环境内实施,在该分布式计算环境中任务由通过有线或无线网络连接的远程处理设备执行。
实施方式可与各种计算机系统配置一起执行,所述各种计算机系统配置包括手持式设备、微处理器系统、基于微处理器的或可编程的消费电子产品、小型计算机、大型计算机等。这些实施方式也可以在分布式计算环境中实施,在该分布式计算环境中任务由通过网络连接的远程处理设备执行。
考虑到上述实施方式,应当理解的是,实施方式可以采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些操作是那些需要对物理量进行物理操纵的操作。构成实施方式一部分的本文所描述的任何操作是有用的机器操作。实施方式还涉及用于执行这些操作的设备或者装置。该装置可以被特别地构造用于所需目的,诸如专用计算机。当被定义为专用计算机时,该计算机也可以执行并非专用目的一部分的其他的处理、程序执行或例程,同时仍然能够操作用于专用目的。可替代地,操作可以由通用计算机执行,该通用计算机通过存储在计算机存储器、高速缓存或通过网络得到的一个或多个计算机程序选择性地启动或配置。当数据通过网络获得时,数据也可以通过网络上的其他计算机进行处理,例如云计算资源。
一个或多个实施方式也可以构造为在计算机可读介质上的计算机可读代码。该计算机可读介质是可存储数据的任何数据存储设备,这些数据可随后由计算机系统读取。计算机可读介质的例子包括硬盘驱动器、网络附加存储(NAS)、只读存储器、随机存取存储器、CD-ROM、CD-R、CD-RW、磁带以及其他光学和非光学式数据存储设备。计算机可读介质可包括分布在网络耦合的计算机系统上的计算机可读有形介质,从而计算机可读代码被分布式存储和执行。
虽然该方法的操作被以特定顺序描述,但应当理解的是,其他内务操作可以在操作之间执行,或者操作可以被调整使得它们能够在稍微不同的时刻发生,或者可以在系统中分配,从而允许处理操作发生在与处理相关联的不同间隔,只要重叠操作的处理以所期望的方式执行即可。
因此,示例性实施方案的公开旨在于说明而非限制本公开的范围,本公开的范围在所附权利要求及其等同方案中阐明。尽管为了清楚理解的目的已经详细描述了本公开的示例性实施方案,但是显而易见的是,可以在所附权利要求的范围内进行某些改变和修改。除非在权利要求书中明确指出或本公开内容暗含要求,否则在所附权利要求书中,元件和/或步骤并不暗示任何特定的操作顺序。

Claims (27)

1.一种在等离子体室中蚀刻衬底的方法,其包括:
将所述衬底接收在所述等离子体室内底部电极上方,所述衬底具有待被蚀刻以形成特征的材料,其中掩模被提供在所述衬底上方以限定待被蚀刻的所述特征的位置;
使用等离子体蚀刻工艺在所述等离子体室中对所述材料执行第一蚀刻,所述第一蚀刻使用等离子体蚀刻气体以在所述材料中使特征形成至第一深度;
在所述等离子体室中执行原子层钝化(ALP)工艺,以在所述掩模和在所述第一蚀刻过程中形成的所述特征上沉积共形的钝化膜,所述ALP工艺包括:
(a)引入液态前体的蒸气至所述等离子体室以在所述掩模和所述特征上涂覆一定量的前体;
(b)固化所述一定量的前体以形成所述共形的钝化层的原子单层;并且
(c)重复在(a)中的所述引入液态前体的蒸气和在(b)中的所述固化所述一定量的前体,直到形成具有目标厚度的共形的钝化膜而没有从所述等离子体室去除所述衬底;并且
使用所述等离子体蚀刻工艺在所述等离子体室中对所述材料执行第二蚀刻,所述第二蚀刻使用等离子体蚀刻气体以在所述材料中使特征形成至第二深度,所述共形的钝化膜被配置为在所述第二蚀刻期间保护所述特征的侧壁和所述掩模。
2.根据权利要求1所述的方法,其中,所述共形的钝化膜被配置为使得能够在或者a)关键尺寸损失最小、或者b)不同材料和不同深宽比之间的关键尺寸负载最小、或者c)不同材料和不同深宽比之间的轮廓负载最小的情况下将所述特征蚀刻至所述第二深度。
3.根据权利要求1所述的方法,其中使用液体输送系统将所述液态前体的蒸气引入到所述等离子体室中,并且使用蚀刻气体输送系统将所述等离子体蚀刻气体引入到所述等离子体室中,所述液体输送系统和所述蚀刻气体输送系统耦合至由控制器控制的歧管,以在对所述材料的所述第一蚀刻和所述第二蚀刻期间引入所述等离子体蚀刻气体,并在所述ALP工艺期间引入所述液态前体的所述蒸气。
4.根据权利要求1所述的方法,其中,在所述ALP工艺期间,所述固化所述一定量的前体包括将射频(RF)功率与氧气一起施加至所述等离子体室的电极以执行等离子体闪蒸工艺,所述等离子体闪蒸工艺被处理持续介于约0.5秒至约4秒之间的时间段,并且所述RF功率以介于约200瓦至约3,000瓦之间的功率电平施加。
5.根据权利要求1所述的方法,其中,在所述ALP工艺期间,在执行(a)和(b)之后进行所述等离子体室的清扫,其中,每次重复(a)和(b),都完成ALP循环。
6.根据权利要求4所述的方法,其中,每个ALP循环产生单个原子单层,并且能够通过执行特定数量的所述ALP循环来形成具有特定目标厚度的共形膜。
7.根据权利要求1所述的方法,其中,在所述APL工艺期间,每次重复(a)和(b),就形成所述共形的钝化膜的单个原子单层,并且通过所述单个原子单层或多个原子单层限定所述共形的钝化膜的所述厚度。
8.根据权利要求1所述的方法,其还包括:
在所述第二蚀刻之后执行一个或多个附加蚀刻,其中在执行每个附加蚀刻之前,执行ALP工艺以形成相应的共形的钝化膜,其中通过重复(a)和(b)多次以达到所述共形的钝化膜的所述目标厚度来限定所述共形的钝化膜。
9.根据权利要求1所述的方法,其中,所述共形的钝化膜沉积在所述特征的所述侧壁和所述掩模上,而不消耗所述特征的所述侧壁的任何大量材料。
10.根据权利要求9所述的方法,其中,待蚀刻的所述材料包括硅、或硅锗、或锗,并且所述共形的钝化膜不消耗在所述特征的侧壁中的任何大量的材料并且在不同的材料上形成基本相同质量的钝化。
11.根据权利要求1所述的方法,其中,在所述ALP工艺期间,所述固化所述一定量的前体包括将射频(RF)功率与氧气一起施加到所述等离子体室的电极,以执行等离子体闪蒸工艺。
12.一种等离子体处理系统,其包括:
包括处理区域的室;
底部电极,其设置在所述室中所述处理区域下方;
介电窗,其设置在所述处理区域上方且在所述底部电极上方;
线圈,其设置在所述介电窗上方,以用于向所述处理区域提供射频(RF)功率;
耦合到一个或多个气体源的蚀刻气体输送系统,所述蚀刻气体输送系统用于在衬底设置在所述底部电极上方时对所述衬底的材料进行第一蚀刻以形成特征,所述蚀刻气体输送系统具有耦合至歧管的输出端;和
液体输送系统,其包括液态前体源、耦合至所述液态前体源的液体流量控制器以及耦合至所述液体流量控制器的汽化器,所述液体输送系统具有耦合至所述歧管的输出端,所述歧管由控制器控制,
其中,所述控制器被配置为启动所述蚀刻气体输送系统以执行所述第一蚀刻,并且被配置为至少启动所述液体输送系统以在所述第一蚀刻之后执行原子层钝化(ALP)工艺,从而用共形的钝化膜涂覆在所述第一蚀刻期间形成的所述特征,所述ALP工艺被完成一次或多次,并且每次形成所述共形的钝化膜的单个原子单层,并且
其中所述控制器被配置为启动所述蚀刻气体输送系统以执行所述特征的第二蚀刻,所述共形的钝化膜被配置为在所述第二蚀刻期间保护所述掩模和所述特征的侧壁。
13.根据权利要求12所述的等离子体处理系统,其中,所述共形的钝化膜被配置为使得能够在或者a)关键尺寸损失最小、或者b)不同材料和不同深宽比之间的关键尺寸负载最小、或者c)不同材料和不同深宽比之间的轮廓负载最小的情况下将所述特征蚀刻至所述第二深度。
14.根据权利要求12所述的等离子体处理系统,其中,在所述ALP工艺期间,所述控制器被配置为启动所述液体流量控制器和所述汽化器,然后启动至所述线圈的所述RF功率以固化由所述汽化器产生并施加在所述特征上的一定量的前体,所述RF功率与氧气一起被启动。
15.根据权利要求12所述的等离子体处理系统,其中,所述室包括真空系统,所述真空系统在每次所述ALP工艺完成后由所述控制器启动。
16.根据权利要求12所述的等离子体处理系统,其中,在所述第一蚀刻和第二蚀刻期间,以及在由所述汽化器产生并施加在所述特征上的所述一定量的前体的固化期间,将偏置功率耦合到所述底部电极,所述偏置功率耦合到所述控制器,以用于处理所述第一蚀刻、所述第二蚀刻和所述ALP工艺。
17.根据权利要求12所述的等离子体处理系统,其中,在所述介电窗中布置注入器,所述注入器提供将所述歧管的输出端耦合至所述室的路径。
18.根据权利要求17所述的等离子体处理系统,其中,在所述室的侧壁中设置一个或多个侧注入器,所述一个或多个侧注入器耦合至通向所述歧管的输出端的一条或多条路径。
19.根据权利要求12所述的等离子体处理系统,其中,所述歧管包括多个阀,所述多个阀用于在所述第一蚀刻和所述第二蚀刻期间提供的气体与在所述ALP工艺期间提供的蒸气之间进行切换。
20.根据权利要求12所述的等离子体处理系统,其中,在所述室内进行所述第一蚀刻、所述第二蚀刻和所述ALP工艺,而不从所述室去除所述衬底,以形成在所述第二蚀刻期间保护所述特征的所述侧壁的所述共形的钝化膜。
21.一种在等离子体室中蚀刻衬底的方法,其包括:
将所述衬底接收在所述等离子体室内底部电极上方,所述衬底具有待被蚀刻以形成特征的材料,其中掩模被提供在所述衬底上方以限定待被蚀刻的所述特征的位置;
使用等离子体蚀刻工艺在所述等离子体室中对所述材料执行第一蚀刻,所述第一蚀刻使用等离子体蚀刻气体以在所述材料中使特征形成至第一深度;
在没有从所述等离子体室去除所述衬底的情况下,在所述等离子体室中执行原子层钝化(ALP)工艺,以在所述掩模和在所述第一蚀刻过程中形成的所述特征上沉积共形的钝化膜,所述ALP工艺使用来自液体前体的蒸气以在所述特征和所述掩模上形成共形的钝化膜;并且
使用所述等离子体蚀刻工艺在所述等离子体室中对所述材料执行第二蚀刻,以在所述材料中使特征形成至第二深度,所述共形的钝化膜被配置为在所述第二蚀刻期间保护所述特征的侧壁和所述掩模。
22.根据权利要求21所述的方法,其中,所述共形的钝化膜被配置为使得能够在或者a)关键尺寸损失最小、或者b)不同材料和不同深宽比之间的关键尺寸负载最小、或者c)不同材料和不同深宽比之间的轮廓负载最小的情况下将所述特征蚀刻至所述第二深度。
23.根据权利要求21所述的方法,其中所述ALP工艺包括:
(a)引入所述液态前体的所述蒸气至所述等离子体室以在所述掩模和所述特征上涂覆一定量的前体;
(b)固化所述一定量的前体以形成所述共形的钝化层的原子层;并且
(c)重复在(a)中的所述引入液态前体的蒸气和在(b)中的所述固化所述一定量的前体,直到形成具有目标厚度的共形的钝化膜。
24.根据权利要求21所述的方法,其中使用液体输送系统将所述液态前体的蒸气引入到所述等离子体室中,并且使用蚀刻气体输送系统将所述等离子体蚀刻气体引入到所述等离子体室中,所述液体输送系统和所述蚀刻气体输送系统耦合至由控制器控制的歧管,以在对所述材料的所述第一蚀刻和所述第二蚀刻期间引入所述等离子体蚀刻气体,并在所述ALP工艺期间引入所述液态前体的所述蒸气。
25.根据权利要求23所述的方法,其中,在所述ALP工艺期间,在执行(a)和(b)之后进行所述等离子体室的清扫,其中,每次重复(a)和(b),都完成ALP循环。
26.根据权利要求25所述的方法,其中,每个ALP循环产生单个原子单层,并且能够通过执行特定数量的所述ALP循环来形成具有特定目标厚度的共形膜,其中对于不同材料和不同的深宽比具有基本相同的厚度和基本相同的质量。
27.根据权利要求23所述的方法,其中,在所述ALP工艺期间,每次重复(a)和(b),就形成所述共形的钝化膜的单个原子单层,并且通过所述单个原子单层或多个原子单层限定所述共形的钝化膜的所述厚度。
CN201880050870.6A 2017-08-04 2018-07-17 Tcp蚀刻室中的集成原子层钝化和原位蚀刻-alp方法 Pending CN110998805A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/669,871 US10950454B2 (en) 2017-08-04 2017-08-04 Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US15/669,871 2017-08-04
PCT/US2018/042560 WO2019027672A1 (en) 2017-08-04 2018-07-17 INTEGRATED ATOMIC LAYER PASSIVATION IN TCP ETCHING CHAMBER AND ALP IN SITU ETCHING METHOD

Publications (1)

Publication Number Publication Date
CN110998805A true CN110998805A (zh) 2020-04-10

Family

ID=65229945

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880050870.6A Pending CN110998805A (zh) 2017-08-04 2018-07-17 Tcp蚀刻室中的集成原子层钝化和原位蚀刻-alp方法

Country Status (6)

Country Link
US (2) US10950454B2 (zh)
JP (1) JP7391830B2 (zh)
KR (1) KR20200028489A (zh)
CN (1) CN110998805A (zh)
TW (1) TWI759516B (zh)
WO (1) WO2019027672A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111584411A (zh) * 2020-06-11 2020-08-25 中国科学院微电子研究所 半导体加工设备、沉积钝化层方法及pram制作方法
CN113755825A (zh) * 2020-06-03 2021-12-07 美光科技公司 材料沉积系统以及相关方法和微电子装置

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210053351A (ko) 2018-09-28 2021-05-11 램 리써치 코포레이션 증착 부산물 빌드업 (buildup) 으로부터 진공 펌프 보호
JP7330078B2 (ja) * 2019-11-25 2023-08-21 東京エレクトロン株式会社 エッチング方法およびエッチング装置
TWI714366B (zh) * 2019-11-26 2020-12-21 聚昌科技股份有限公司 線圈垂直位置可動態調整之蝕刻機結構

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20140120727A1 (en) * 2012-10-29 2014-05-01 Lam Research Corporation Method of tungsten etching
CN105789027A (zh) * 2015-01-12 2016-07-20 朗姆研究公司 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
US20170178920A1 (en) * 2014-12-04 2017-06-22 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140208A (en) * 1999-02-05 2000-10-31 International Business Machines Corporation Shallow trench isolation (STI) with bilayer of oxide-nitride for VLSI applications
JP2004327606A (ja) * 2003-04-23 2004-11-18 Denso Corp ドライエッチング方法
US20080245770A1 (en) * 2005-10-11 2008-10-09 Aviza Technology Limited Positive Displacement Pumping Chamber
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
WO2008153674A1 (en) * 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
EP2362411A1 (en) * 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US10937634B2 (en) * 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
WO2015143371A1 (en) * 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US9704973B2 (en) 2014-04-01 2017-07-11 Globalfoundries Inc. Methods of forming fins for FinFET semiconductor devices and the selective removal of such fins
US9711365B2 (en) * 2014-05-02 2017-07-18 International Business Machines Corporation Etch rate enhancement for a silicon etch process through etch chamber pretreatment
US9431297B2 (en) 2014-10-01 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure for a semiconductor device
GB201420366D0 (en) * 2014-11-17 2014-12-31 Univ Liverpool Dielectric barrier layer
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9934956B2 (en) 2015-07-27 2018-04-03 Lam Research Corporation Time multiplexed chemical delivery system
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20140120727A1 (en) * 2012-10-29 2014-05-01 Lam Research Corporation Method of tungsten etching
US20170178920A1 (en) * 2014-12-04 2017-06-22 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
CN105789027A (zh) * 2015-01-12 2016-07-20 朗姆研究公司 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113755825A (zh) * 2020-06-03 2021-12-07 美光科技公司 材料沉积系统以及相关方法和微电子装置
CN111584411A (zh) * 2020-06-11 2020-08-25 中国科学院微电子研究所 半导体加工设备、沉积钝化层方法及pram制作方法

Also Published As

Publication number Publication date
US20190043728A1 (en) 2019-02-07
KR20200028489A (ko) 2020-03-16
TW201920733A (zh) 2019-06-01
US10950454B2 (en) 2021-03-16
WO2019027672A1 (en) 2019-02-07
US20210287909A1 (en) 2021-09-16
TWI759516B (zh) 2022-04-01
JP2020529739A (ja) 2020-10-08
JP7391830B2 (ja) 2023-12-05

Similar Documents

Publication Publication Date Title
US11211253B2 (en) Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US11170997B2 (en) Atomic layer deposition and etch for reducing roughness
US20210287909A1 (en) Integrated atomic layer passivation in tcp etch chamber and in-situ etch-alp method
US10615169B2 (en) Selective deposition of SiN on horizontal surfaces
US9928994B2 (en) Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
US10515815B2 (en) Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US20180218915A1 (en) Isotropic etching of film with atomic layer control
US9741584B1 (en) Densification of dielectric film using inductively coupled high density plasma
CN107731669B (zh) 用于间隙特征中的ald沉积轮廓调整的添加剂
US20230274939A1 (en) Atomic layer etching of a semiconductor, a metal, or a metal oxide with selectivity to a dielectric
US20220181141A1 (en) Etch stop layer
CN113302716A (zh) 针对3d nand集成具有改善的蚀刻选择性的氮化物膜
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US20220235464A1 (en) Selective carbon deposition

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination