KR20190136986A - 기판 처리 방법 및 기판 처리 장치 - Google Patents

기판 처리 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR20190136986A
KR20190136986A KR1020190063523A KR20190063523A KR20190136986A KR 20190136986 A KR20190136986 A KR 20190136986A KR 1020190063523 A KR1020190063523 A KR 1020190063523A KR 20190063523 A KR20190063523 A KR 20190063523A KR 20190136986 A KR20190136986 A KR 20190136986A
Authority
KR
South Korea
Prior art keywords
voltage
temperature
change
component
heater
Prior art date
Application number
KR1020190063523A
Other languages
English (en)
Inventor
가즈히토 야마다
히로키 엔도
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190136986A publication Critical patent/KR20190136986A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

(과제) 기판의 온도에 관한 이상이 발생하고 있는지 여부를 적절히 판정하는 기술을 제공한다.
(해결 수단) 기판 처리 방법은, 웨이퍼를 처리하는 기판 처리 장치에 마련된 부품에 인가되는 전압의 변화와 그 부품에 흐르는 전류를 측정하는 것과, 복수의 저항치를 복수의 온도에 대응시키는 변환 테이블을 참조하여, 그 측정된 전압과 전류에 근거하여 산출되는 저항치의 변화로부터 그 부품의 온도를 산출하는 것과, 그 웨이퍼의 온도에 관한 이상이 발생하고 있는지 여부를 그 측정된 전압의 변화에 근거하여 판정하는 것과, 그 웨이퍼의 온도에 관한 이상이 발생하고 있다고 판정되었을 때에, 그 웨이퍼를 처리하는 것을 정지하는 것을 구비하고 있다.

Description

기판 처리 방법 및 기판 처리 장치{SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS}
본 개시는, 기판 처리 방법 및 기판 처리 장치에 관한 것이다.
반도체 웨이퍼의 플라즈마 에칭에서, 반도체 웨이퍼의 온도에 관한 이상이 발생했을 때에, 플라즈마 에칭의 프로세스를 정지하는 인터록 기술이 알려져 있다.
특허문헌 1 : 일본 특허 공개 2006-283173호 공보 특허문헌 2 : 일본 특허 공개 2017-228230호 공보
본 개시는, 기판의 온도에 관한 이상이 발생하고 있는지 여부를 적절히 판정하는 기술을 제공한다.
본 개시의 일 태양에 의한 기판 처리 방법은, 기판을 처리하는 기판 처리 장치에 마련된 부품에 인가되는 전압의 변화와 상기 부품에 흐르는 전류를 측정하는 것과, 복수의 저항치를 복수의 온도에 대응시키는 변환 테이블을 참조하여, 상기 전압과 상기 전류에 근거하여 산출되는 저항치의 변화로부터 상기 부품의 온도를 산출하는 것과, 상기 기판의 온도에 관한 이상이 발생하고 있는지 여부를 상기 전압의 변화에 근거하여 판정하는 것과, 상기 이상이 발생하고 있다고 판정되었을 때에, 상기 기판을 처리하는 것을 정지하는 것을 구비하고 있다.
본 개시에 의하면, 기판의 온도에 관한 이상이 발생하고 있는지 여부를 적절히 판정할 수 있다.
도 1은 기판 처리 장치의 일례를 나타내는 종단면도이다.
도 2는 정전 척의 일례를 나타내는 상면도이다.
도 3은 복수의 전력 공급부 중 하나의 히터에 대응하는 하나의 전력 공급부의 일례를 나타내는 회로도이다.
도 4는 제어 장치의 일례를 나타내는 도면이다.
도 5는 교류 전원으로부터 출력되는 교류 전압의 파형의 일례를 나타내고, 히터에 흐르는 전류의 파형의 일례를 나타내는 도면이다.
도 6은 복수의 변환 테이블의 일례를 나타내는 도면이다.
도 7은 복수의 통전 기간 중 하나의 통전 기간에 히터에 인가되는 레퍼런스 전압의 변화의 일례를 나타내는 그래프이다.
도 8은 전원 파형 변동 시험에 있어서 복수의 샘플 교류 전압에 대응하는 복수의 온도의 일례를 나타내는 그래프이다.
도 9는 제 1 샘플 교류 전압의 파형의 일례를 나타내는 도면이다.
도 10은 제 5 샘플 교류 전압의 파형의 일례를 나타내는 도면이다.
도 11은 제 9 샘플 교류 전압의 파형의 일례를 나타내는 도면이다.
도 12는 제 14 샘플 교류 전압의 파형의 일례를 나타내는 도면이다.
도 13은 제 15 샘플 교류 전압의 파형의 일례를 나타내는 도면이다.
도 14는 제 1 샘플 교류 전압 중 반파장분이 히터에 인가되었을 때의 히터의 저항치의 변화의 일례를 나타내는 그래프이다.
도 15는 제 15 샘플 교류 전압 중 반파장분이 히터에 인가되었을 때의 히터의 저항치의 변화의 일례를 나타내는 그래프이다.
도 16은 복수의 샘플 교류 전압에 대응하는 복수의 후반 전압 비율의 일례를 나타내는 그래프이다.
이하에, 개시하는 기판 처리 방법 및 기판 처리 장치의 실시예에 대하여, 도면에 근거하여 상세하게 설명한다. 또, 이하의 실시예에 의해 개시되는 기술이 한정되는 것이 아니다. 또한, 각 실시예는, 처리 내용이 모순되지 않는 범위에서 적당히 조합하는 것이 가능하다.
[기판 처리 장치(10)의 구성]
도 1은 기판 처리 장치(10)의 일례를 나타내는 종단면도이다. 기판 처리 장치(10)는, 도 1에 나타나 있는 바와 같이, 챔버(1)와 배기 장치(GEU)(2)와 게이트 밸브(3)를 구비하고 있다. 챔버(1)는, 알루미늄으로 형성되고, 대략 원통 형상으로 형성되어 있다. 챔버(1)의 표면은, 양극 산화 피막으로 피복되어 있다. 챔버(1)의 내부에는, 처리 공간(5)이 형성되어 있다. 챔버(1)는, 처리 공간(5)을 외부의 분위기로부터 격리하고 있다. 챔버(1)에는, 배기구(6)와 개구부(7)가 형성되어 있다. 배기구(6)는, 챔버(1)의 저부에 형성되어 있다. 개구부(7)는, 챔버(1)의 측벽에 형성되어 있다. 배기 장치(2)는, 배기구(6)를 거쳐서 챔버(1)의 처리 공간(5)에 접속되어 있다. 배기 장치(2)는, 배기구(6)를 거쳐서 처리 공간(5)으로부터 기체를 배기하고, 처리 공간(5)을 소정의 진공도까지 감압한다. 게이트 밸브(3)는, 개구부(7)를 개방하거나, 개구부(7)를 폐쇄하거나 한다.
[탑재대(11)의 구성]
기판 처리 장치(10)는, 탑재대(11)를 더 구비하고 있다. 탑재대(11)는, 처리 공간(5) 중 하부에 배치되어 있다. 탑재대(11)는, 절연판(14)과 지지대(15)와 기재(16)와 정전 척(17)과 내벽 부재(18)와 포커스 링(19)을 구비하고 있다. 절연판(14)은, 절연체로 형성되고, 챔버(1)의 저부에 지지되어 있다. 지지대(15)는, 도체로 형성되어 있다. 지지대(15)는, 절연판(14)의 위에 배치되고, 지지대(15)와 챔버(1)가 전기적으로 절연되도록, 절연판(14)을 통해서 챔버(1)의 저부에 지지되어 있다.
기재(16)는, 알루미늄으로 예시되는 도체로 형성되어 있다. 기재(16)는, 지지대(15)의 위에 배치되고, 지지대(15)를 통해서 챔버(1)의 저부에 지지되어 있다. 정전 척(17)은, 기재(16)의 위에 배치되고, 기재(16)를 통해서 챔버(1)의 저부에 지지되어 있다. 정전 척(17)은, 정전 척 본체(21)와 전극(22)과 복수의 히터(23-1~23-n)(n=2, 3, 4, …)를 구비하고 있다. 정전 척 본체(21)는, 절연체로 형성되어 있다. 전극(22)과 복수의 히터(23-1~23-n)는, 정전 척 본체(21)의 내부에 매립되어 있다.
내벽 부재(18)는, 석영으로 예시되는 절연체로 형성되고, 원통 형상으로 형성되어 있다. 내벽 부재(18)는, 기재(16)와 지지대(15)가 내벽 부재(18)의 안쪽에 배치되도록, 기재(16)와 지지대(15)의 주위에 배치되고, 기재(16)와 지지대(15)를 둘러싸고 있다. 포커스 링(19)은, 단결정 실리콘으로 형성되고, 링 형상으로 형성되어 있다. 포커스 링(19)은, 정전 척(17)이 포커스 링(19)의 내부에 배치되도록, 정전 척(17)의 외주에 배치되고, 정전 척(17)을 둘러싸고 있다. 탑재대(11)에는, 또한, 냉매 순환 유로(25)와 전열 가스 공급 유로(26)가 형성되어 있다. 냉매 순환 유로(25)는, 기재(16)의 내부에 형성되어 있다. 전열 가스 공급 유로(26)는, 정전 척(17)을 관통하도록 형성되고, 전열 가스 공급 유로(26)의 일단은, 정전 척(17)의 상면에 형성되어 있다.
기판 처리 장치(10)는, 직류 전원(DC)(31)과 복수의 전력 공급부(PSU)(32-1~32-n)와 칠러 유닛(CU)(33)과 전열 가스 공급부(HTG)(34)를 더 구비하고 있다. 직류 전원(31)은, 정전 척(17)의 전극(22)에 전기적으로 접속되어 있다. 직류 전원(31)은, 전극(22)에 직류 전압을 인가한다. 복수의 전력 공급부(32-1~32-n)는, 복수의 히터(23-1~23-n)에 대응하고 있다. 칠러 유닛(33)은, 냉매 순환 유로(25)에 접속되어 있다. 칠러 유닛(33)은, 냉매를 소정의 온도로 냉각하고, 그 냉각된 냉매를 기재(16)의 내부의 냉매 순환 유로(25)에 순환시킨다. 전열 가스 공급부(34)는, 전열 가스 공급 유로(26)에 접속되어 있다. 전열 가스 공급부(34)는, He 가스로 예시되는 전열 가스를 전열 가스 공급 유로(26)에 공급한다.
기판 처리 장치(10)는, 제 1 고주파 전원(HF)(35)과 제 2 고주파 전원(HF)(36)을 더 구비하고 있다. 제 1 고주파 전원(35)은, 제 1 정합기(37)를 거쳐서 기재(16)에 접속되어 있다. 제 2 고주파 전원(36)은, 제 2 정합기(38)를 거쳐서 기재(16)에 접속되어 있다. 제 1 고주파 전원(35)은, 소정의 주파수(예컨대 100㎒)의 고주파 전력을 기재(16)에 공급한다. 제 2 고주파 전원(36)은, 제 1 고주파 전원(35)이 기재(16)에 공급하는 고주파 전력의 주파수보다 낮은 주파수(예컨대, 13㎒)의 고주파 전력을 기재(16)에 공급한다.
[샤워 헤드(41)의 구성]
기판 처리 장치(10)는, 샤워 헤드(41)를 더 구비하고 있다. 샤워 헤드(41)는, 샤워 헤드(41)의 하면이 탑재대(11)에 대향하도록, 또한, 샤워 헤드(41)의 하면을 따르는 평면이 탑재대(11)의 상면을 따르는 평면에 대하여 대략 평행하도록, 처리 공간(5) 중 탑재대(11)의 위쪽에 배치되어 있다. 샤워 헤드(41)는, 절연성 부재(42)와 본체부(43)와 상부 천판(44)을 구비하고 있다. 절연성 부재(42)는, 절연체로 형성되고, 챔버(1)의 상부에 지지되어 있다. 본체부(43)는, 예컨대 표면에 양극 산화 처리가 실시된 알루미늄으로 예시되는 도체로 형성되어 있다. 본체부(43)는, 본체부(43)와 챔버(1)가 전기적으로 절연되도록, 절연성 부재(42)를 통해서 챔버(1)에 지지되어 있다. 본체부(43)와 기재(16)는, 한 쌍의 상부 전극과 하부 전극으로서 이용된다. 상부 천판(44)은, 석영으로 예시되는 실리콘 함유 물질로 형성되어 있다. 상부 천판(44)은, 본체부(43)의 하부에 배치되고, 본체부(43)에 대하여 탈착이 자유롭게 본체부(43)에 지지되어 있다.
본체부(43)에는, 가스 확산실(45)과 가스 도입구(46)와 복수의 가스 유출구(47)가 형성되어 있다. 가스 확산실(45)은, 본체부(43)의 내부에 형성되어 있다. 가스 도입구(46)는, 본체부(43) 중 가스 확산실(45)보다 위쪽에 형성되고, 가스 확산실(45)에 연통하고 있다. 복수의 가스 유출구(47)는, 본체부(43) 중 가스 확산실(45)보다 상부 천판(44)의 쪽에 형성되고, 가스 확산실(45)에 연통하고 있다. 상부 천판(44)에는, 복수의 가스 도입구(48)가 형성되어 있다. 복수의 가스 도입구(48)는, 상부 천판(44)의 상면과 하면을 관통하도록 형성되고, 복수의 가스 유출구(47)에 각각 연통하고 있다.
기판 처리 장치(10)는, 처리 가스 공급원(PGS)(51)과 밸브(52)와 매스 플로 컨트롤러(53)(MFC)를 더 구비하고 있다. 처리 가스 공급원(51)은, 배관(54)을 거쳐서 샤워 헤드(41)의 본체부(43)의 가스 도입구(46)에 접속되어 있다. 매스 플로 컨트롤러(53)는, 배관(54)의 도중에 마련되어 있다. 밸브(52)는, 배관(54) 중 매스 플로 컨트롤러(53)와 가스 도입구(46)의 사이에 마련되어 있다. 밸브(52)는, 개폐되는 것에 의해, 처리 가스 공급원(51)으로부터 가스 도입구(46)에 처리 가스를 공급하거나, 처리 가스가 처리 가스 공급원(51)으로부터 가스 도입구(46)에 공급되는 것을 차단하거나 한다.
기판 처리 장치(10)는, 가변 직류 전원(55)과 로우 패스 필터(56)(LPF)와 스위치(57)를 더 구비하고 있다. 가변 직류 전원(55)은, 전로(58)를 거쳐서 샤워 헤드(41)의 본체부(43)에 전기적으로 접속되어 있다. 로우 패스 필터(56)와 스위치(57)는, 전로(58)의 도중에 마련되어 있다. 스위치(57)는, 개폐되는 것에 의해, 직류 전압을 샤워 헤드(41)에 인가하거나, 직류 전압이 샤워 헤드(41)에 인가되는 것을 차단하거나 한다.
기판 처리 장치(10)는, 링 자석(61)을 더 구비하고 있다. 링 자석(61)은, 영구자석으로 형성되고, 링 형상으로 형성되어 있다. 링 자석(61)은, 챔버(1)가 링 자석(61)의 안쪽에 배치되도록, 챔버(1)와 동심원 형상으로 배치되어 있다. 링 자석(61)은, 도시되어 있지 않은 회전 기구를 거쳐서 회전이 자유롭게 챔버(1)에 지지되어 있다. 링 자석(61)은, 처리 공간(5) 중 샤워 헤드(41)와 탑재대(11)의 사이의 영역에 자장을 형성한다.
기판 처리 장치(10)는, 퇴적물 실드(62)와 퇴적물 실드(63)와 도전성 부재(64)를 더 구비하고 있다. 퇴적물 실드(62)는, 챔버(1)의 내벽면을 덮도록 배치되고, 챔버(1)에 대하여 탈착이 자유롭게 챔버(1)에 지지되어 있다. 퇴적물 실드(62)는, 챔버(1)의 내벽면에 에칭 부생물(퇴적물)이 부착되는 것을 방지한다. 퇴적물 실드(63)는, 내벽 부재(18)의 외주면을 덮도록 배치되어 있다. 퇴적물 실드(63)는, 내벽 부재(18)의 외주면에 에칭 부생물이 부착되는 것을 방지한다. 도전성 부재(64)는, 도전성 부재(64)가 배치되는 높이가, 정전 척(17)에 탑재된 웨이퍼(65)가 배치되는 높이와 대략 동일하게 되도록, 처리 공간(5)에 배치되고, 퇴적물 실드(62)에 지지되어 있다. 도전성 부재(64)는, 도체로 형성되고, 그라운드에 전기적으로 접속되어 있다. 도전성 부재(64)는, 챔버(1) 내의 이상 방전을 억제한다.
[정전 척(17)]
도 2는 정전 척(17)의 일례를 나타내는 상면도이다. 정전 척(17)의 상면은, 복수의 분할 영역(66-1~66-n)으로 분할되어 있다. 예컨대, 복수의 분할 영역(66-1~66-n) 중 하나의 분할 영역(66-1)은, 정전 척(17)의 가장자리에 접하도록 배치되어 있다. 또, 복수의 분할 영역(66-1~66-n)의 형상은, 도 2에 나타나 있는 예로 한정되지 않는다. 복수의 히터(23-1~23-n)는, 복수의 분할 영역(66-1~66-n)에 대응하고 있다. 복수의 히터(23-1~23-n) 중 하나의 분할 영역(66-1)에 대응하는 하나의 히터(23-1)는, 정전 척(17)의 정전 척 본체(21) 중 분할 영역(66-1)의 근방에 매립되어 있다. 히터(23-1)는, 교류 전력이 공급되는 것에 의해 분할 영역(66-1)을 중심으로 정전 척(17)을 가열한다. 복수의 히터(23-1~23-n) 중 히터(23-1)와 상이한 다른 히터도, 히터(23-1)와 마찬가지로, 교류 전력이 공급되었을 때에, 복수의 분할 영역(66-1~66-n) 중 그 히터에 대응하는 분할 영역을 중심으로 정전 척(17)을 가열한다.
[복수의 전력 공급부(32-1~32-n)의 구성]
복수의 전력 공급부(32-1~32-n)는, 복수의 히터(23-1~23-n)에 대응하고 있다. 도 3은 복수의 전력 공급부(32-1~32-n) 중 하나의 히터(23-1)에 대응하는 하나의 전력 공급부(32-1)의 일례를 나타내는 회로도이다. 전력 공급부(32-1)는, 스위치(SW)(71)와 전압계(VM)(72)와 전류계(73)를 구비하고 있다. 스위치(71)는, 교류 전원(74)과 히터(23-1)를 접속하는 히터 전력 공급용 전로(75)의 도중에 마련되어 있다. 교류 전원(74)은, 기판 처리 장치(10)가 설치되는 공장에 마련되고, 기판 처리 장치(10)에 교류 전력을 공급함과 아울러, 기판 처리 장치(10)와 상이한 다른 기기에도 교류 전력을 공급한다. 스위치(71)는, 폐쇄되는 것에 의해 교류 전원(74)으로부터 전력을 히터(23-1)에 공급하고, 개방되는 것에 의해 교류 전원(74)으로부터 히터(23-1)에 전력이 공급되는 것을 차단한다. 전압계(72)는, 히터(23-1)에 인가되는 전압을 측정한다.
전류계(73)는, 션트 저항기(76)와 전압계(VM)(77)를 구비하고 있다. 션트 저항기(76)는, 히터 전력 공급용 전로(75)의 도중에 마련되어 있다. 션트 저항기(76)의 저항치로서는, 10mΩ이 예시된다. 전압계(77)는, 션트 저항기(76)에 인가되는 전압을 측정한다. 전류계(73)는, 전압계(77)에 의해 측정된 전압에 근거하여, 히터(23-1)를 흐르는 전류의 순간치를 측정한다. 복수의 전력 공급부(32-1~32-n) 중 다른 전력 공급부도, 전력 공급부(32-1)와 마찬가지로, 전력 공급부에 대응하는 히터에 교류 전원(74)으로부터 교류 전력을 공급하고, 그 히터에 인가되는 전압을 측정하고, 그 히터에 흐르는 전류를 측정한다.
[제어 장치(80)의 구성]
기판 처리 장치(10)는, 도 4에 나타나 있는 바와 같이, 제어 장치(80)를 더 구비하고 있다. 도 4는 제어 장치(80)의 일례를 나타내는 도면이다. 제어 장치(80)는, 컴퓨터(90)에 의해 실현되어 있다. 컴퓨터(90)는, CPU(Central Processing Unit)(91)와 RAM(Random Access Memory)(92)과 ROM(Read Only Memory)(93)을 구비하고 있다. CPU(91)는, 컴퓨터(90)에 인스톨되어 있는 프로그램에 근거하여 동작하고, 컴퓨터(90)의 각 부를 제어하고, 기판 처리 장치(10)를 제어한다. ROM(93)은, 컴퓨터(90)의 기동 시에 CPU(91)에 의해 실행되는 부트 프로그램이나, 컴퓨터(90)의 하드웨어에 의존하는 프로그램을 기록하고 있다.
컴퓨터(90)는, 보조 기억 장치(94)와 통신 I/F(95)와 입출력 I/F(96)와 미디어 I/F(97)를 더 구비하고 있다. 보조 기억 장치(94)는, CPU(91)에 의해 실행되는 프로그램과, 해당 프로그램에 의해 사용되는 데이터를 기록한다. 보조 기억 장치(94)로서는, HDD(Hard Disk Drive), SSD(Solid State Drive)가 예시된다. CPU(91)는, 해당 프로그램을, 보조 기억 장치(94)로부터 읽어내어 RAM(92)에 로드하고, 그 로드된 프로그램을 실행한다.
통신 I/F(95)는, LAN(Local Area Network)으로 예시되는 통신 회선을 거쳐서 기판 처리 장치(10)와의 사이에서 통신을 행한다. 통신 I/F(95)는, 통신 회선을 거쳐서 기판 처리 장치(10)로부터 수신한 정보를 CPU(91)에 보내고, CPU(91)가 생성한 데이터를 기판 처리 장치(10)에 통신 회선을 거쳐서 송신한다.
컴퓨터(90)는, 키보드로 예시되는 입력 장치와, 디스플레이로 예시되는 출력 장치를 더 구비하고 있다. CPU(91)는, 입출력 I/F(96)를 거쳐서, 입력 장치와 출력 장치를 제어한다. 입출력 I/F(96)는, 입력 장치를 거쳐서 입력된 신호를 CPU(91)에 송신하고, CPU(91)에 의해 생성된 데이터를 출력 장치에 출력한다.
미디어 I/F(97)는, 일시적이 아닌 유형의 기록 매체(98)에 기록된 프로그램 또는 데이터를 읽어낸다. 기록 매체(98)로서는, 광학 기록 매체, 광자기 기록 매체, 테이프 매체, 자기 기록 매체, 또는 반도체 메모리가 예시된다. 광학 기록 매체로서는, DVD(Digital Versatile Disc), PD(Phase change rewritable Disk)가 예시된다. 광자기 기록 매체로서는, MO(Magneto-Optical disk)가 예시된다.
CPU(91)는, 미디어 I/F(97)를 거쳐서 기록 매체(98)로부터 읽힌 프로그램을 보조 기억 장치(94)에 기록하지만, 다른 예로서, 통신 회선을 거쳐서 다른 장치로부터 취득된 프로그램을 보조 기억 장치(94)에 기록하더라도 좋다.
도 5는 교류 전원(74)으로부터 출력되는 교류 전압의 파형(101)의 일례를 나타내고, 히터(23-1)에 흐르는 전류의 파형(102)의 일례를 나타내는 도면이다. 교류 전압의 파형(101)은, 사인 곡선을 따르고, 교류 전원(74)이 소정 주파수(예컨대 50㎐)의 교류 전압을 출력하고 있는 것을 나타내고 있다. 전류의 파형(102)은, 교류 전압이 음인 모든 기간에 교류 전원(74)으로부터 히터(23-1)에 전력이 공급되고 있지 않은 것을 나타내고 있다. 전류의 파형(102)은, 또한, 교류 전압이 양인 복수의 기간 중 소정의 복수의 통전 기간(103)에 교류 전원(74)으로부터 히터(23-1)에 전력이 공급되는 것을 나타내고 있다.
즉, 제어 장치(80)는, 교류 전원(74)이 출력하는 교류 전압이 음일 때에, 교류 전압이 히터(23-1)에 인가되지 않도록, 전력 공급부(32-1)의 스위치(71)를 개방한다. 제어 장치(80)는, 또한, 교류 전압이 양인 복수의 기간에 대한 복수의 통전 기간(103)의 비율이 소정의 비율과 동일하게 되도록, 복수의 통전 기간(103)을 설정한다. 제어 장치(80)는, 또한, 복수의 통전 기간(103)에 교류 전압이 히터(23-1)에 인가되도록, 전력 공급부(32-1)의 스위치(71)를 폐쇄한다.
제어 장치(80)는, 도 6에 나타나 있는 바와 같이, 복수의 변환 테이블(111-1~111-n)을 보조 기억 장치(94)에 기록하고 있다. 도 6은 복수의 변환 테이블(111-1~111-n)의 일례를 나타내는 도면이다. 복수의 변환 테이블(111-1~111-n)은, 복수의 히터(23-1~23-n)에 대응하고 있다. 복수의 변환 테이블(111-1~111-n) 중 히터(23-1)에 대응하는 변환 테이블(111-1)은, 복수의 온도(112)를 복수의 저항치(113)에 대응시키고 있다. 복수의 온도(112)로서는, 20℃로부터 120℃까지 10℃ 스텝으로 설정되는 11가지의 설정 온도가 예시된다. 복수의 저항치(113) 중 어느 온도에 대응하는 저항치는, 히터(23-1)의 온도가 그 온도와 동일할 때에, 히터(23-1)의 저항치와 동일하다. 복수의 변환 테이블(111-1~111-n) 중 히터(23-1)와 상이한 다른 히터에 대응하는 다른 변환 테이블도, 변환 테이블(111-1)과 마찬가지로, 그 대응하는 히터의 온도를 그 히터의 저항치에 대응시키고 있다.
제어 장치(80)는, 또한, 복수의 히터(23-1~23-n)에 대응하는 복수의 목표 온도를 보조 기억 장치(94)에 기록하고 있다. 제어 장치(80)는, 히터(23-1)에 교류 전력이 공급되고 있을 때에, 복수의 통전 기간(103)에 대응하는 복수의 중간 타이밍에 히터(23-1)에 인가되는 전압의 순간치가 측정되도록, 전력 공급부(32-1)의 전압계(72)를 제어한다. 복수의 중간 타이밍 중 어느 통전 기간에 대응하는 중간 타이밍은, 히터(23-1)에 인가되는 전압이 극대치를 나타내는 타이밍이다. 즉, 그 통전 기간의 개시 시각으로부터 중간 타이밍까지의 시간은, 중간 타이밍으로부터 그 통전 기간의 종료 시각까지의 시간과 대략 동일하다. 제어 장치(80)는, 또한, 복수의 중간 타이밍에 히터(23-1)에 흐르는 전류의 순간치가 측정되도록, 전력 공급부(32-1)의 전류계(73)를 제어한다. 제어 장치(80)는, 전압계(72)에 의해 측정된 전압의 순간치와, 전류계(73)에 의해 측정된 전류의 순간치에 근거하여, 히터(23-1)의 저항치를 산출한다. 히터(23-1)의 저항치는, 전압계(72)에 의해 측정된 전압의 순간치를, 전류계(73)에 의해 측정된 전류의 순간치로 나눈 값과 동일하다.
제어 장치(80)는, 변환 테이블(111-1)을 참조하여, 히터(23-1)의 저항치에 근거하여 히터(23-1)의 온도를 산출한다. 히터(23-1)의 온도는, 히터(23-1)의 저항치가 복수의 저항치(113) 중 하나의 저항치와 동일할 때에, 복수의 온도(112) 중 그 하나의 저항치에 대응하는 온도와 동일하다. 제어 장치(80)는, 그 산출된 히터(23-1)의 온도가 복수의 목표 온도 중 히터(23-1)에 대응하는 목표 온도보다 작을 때에, 교류 전압이 양인 복수의 기간에 대한 복수의 통전 기간(103)의 비율이 커지도록, 복수의 통전 기간(103)을 변경한다. 제어 장치(80)는, 그 산출된 히터(23-1)의 온도가 목표 온도보다 클 때에, 교류 전압이 양인 복수의 기간에 대한 복수의 통전 기간(103)의 비율이 작아지도록, 복수의 통전 기간(103)을 변경한다. 제어 장치(80)는, 그 변경된 복수의 통전 기간(103)에 교류 전원(74)으로부터 히터(23-1)에 교류 전력이 공급되도록, 전력 공급부(32-1)의 스위치(71)를 개폐한다. 제어 장치(80)는, 복수의 히터(23-1~23-n) 중 히터(23-1)와 상이한 히터에 관해서도, 히터(23-1)와 마찬가지로, 복수의 변환 테이블(111-1~111-n)과 복수의 목표 온도에 근거하여 복수의 전력 공급부(32-1~32-n)를 제어한다.
[기판 처리 방법]
실시 형태와 관련되는 기판 처리 방법은, 기판 처리 장치(10)를 이용하여 실행되고, 셋업 방법과 플라즈마 에칭 방법과 인터록 방법을 구비하고 있다.
셋업 방법은, 예컨대, 기판 처리 장치(10)가 공장에 설치되었을 때에, 또는, 인터록 방법에 의해 플라즈마 에칭 방법이 도중에 중단된 후에, 실행된다. 제어 장치(80)는, 칠러 유닛(33)을 제어하는 것에 의해, 소정의 온도로 냉각된 냉매를 냉매 순환 유로(25)에 순환시켜, 정전 척(17)을 냉각한다. 제어 장치(80)는, 또한, 복수의 전력 공급부(32-1~32-n)의 스위치(71)를 개폐하는 것에 의해, 교류 전원(74)으로부터 복수의 히터(23-1~23-n)에 레퍼런스 교류 전압을 각각 공급하고, 정전 척(17)을 가열한다. 유저는, 정전 척(17)이 냉각 및 가열되고 있을 때에, 도시되어 있지 않은 온도 센서를 이용하여 정전 척(17)의 복수의 분할 영역(66-1~66-n)의 온도를 각각 측정하는 것에 의해, 복수의 히터(23-1~23-n)의 온도를 각각 측정한다. 온도 센서로서는, 정전 척(17)의 상면으로부터 방사되는 적외선의 방사량의 분포에 근거하여 복수의 분할 영역(66-1~66-n)의 온도를 각각 측정하는 적외선 카메라가 예시된다.
제어 장치(80)는, 히터(23-1)의 온도가 측정되고 있을 때에, 전력 공급부(32-1)의 전압계(72)와 전류계(73)를 제어하는 것에 의해, 히터(23-1)에 인가되는 레퍼런스 전압을 측정하고, 히터(23-1)에 흐르는 레퍼런스 전류를 측정한다. 제어 장치(80)는, 히터(23-1)의 온도가 소정의 온도와 동일하게 된 타이밍의 히터(23-1)의 저항치를 산출한다. 그 저항치는, 그 타이밍에 전압계(72)에 의해 측정된 레퍼런스 전압의 순간치를, 그 타이밍에 전류계(73)에 의해 측정된 레퍼런스 전류의 순간치로 나눈 값과 동일하다. 제어 장치(80)는, 히터(23-1)의 온도가 복수의 온도(112)와 동일하게 될 때마다 히터(23-1)의 저항치를 산출하는 것에 의해, 복수의 온도(112)에 대응하는 복수의 저항치(113)를 산출하고, 변환 테이블(111-1)을 작성한다.
제어 장치(80)는, 복수의 히터(23-1~23-n) 중 다른 히터에 관해서도, 복수의 온도(112)에 대응하는 복수의 저항치(113)를 산출하고, 복수의 변환 테이블(111-1~111-n) 중 그 히터에 대응하는 변환 테이블을 작성한다. 제어 장치(80)는, 이와 같이 작성된 복수의 변환 테이블(111-1~111-n)을 보조 기억 장치(94)에 기록한다.
셋업 방법에서는, 제어 장치(80)는, 또한, 복수의 히터(23-1~23-n)에 대응하는 복수의 레퍼런스 후반 전압 비율을 산출한다. 즉, 제어 장치(80)는, 레퍼런스 교류 전력이 히터(23-1)에 공급되고 있는 복수의 통전 기간(103)에, 전압계(72)를 제어하는 것에 의해, 히터(23-1)에 인가되는 레퍼런스 전압의 순간치를 소정의 샘플링 주기마다 측정한다. 샘플링 주기로서는, 100㎲가 예시된다.
도 7은 복수의 통전 기간(103) 중 하나의 통전 기간(121)에 히터(23-1)에 인가되는 레퍼런스 전압의 변화(122)의 일례를 나타내는 그래프이다. 레퍼런스 전압의 변화(122)는, 사인 곡선 중 0도~180도에 대응하는 반파장분의 곡선을 대략 따르고 있다. 하나의 통전 기간(121)은, 전반 기간(123)과 후반 기간(124)으로 형성되어 있다. 전반 기간(123)은, 하나의 통전 기간(121)이 개시된 시각으로부터 소정의 타이밍(125)까지 기간을 나타내고 있다. 타이밍(125)은, 하나의 통전 기간(121)이 개시된 시각으로부터 하나의 통전 기간(121)의 길이의 3/4의 시간이 경과한 타이밍을 나타내고 있다. 후반 기간(124)은, 타이밍(125)으로부터 하나의 통전 기간(121)이 종료된 시각까지 기간을 나타내고 있다. 즉, 후반 기간(124)의 길이는, 하나의 통전 기간(121)의 길이의 1/4과 동일하다.
제어 장치(80)는, 전력 공급부(32-1)의 전압계(72)에 의해 측정된 복수의 순간치에 근거하여, 복수의 레퍼런스 후반 전압 비율 중 히터(23-1)에 대응하는 하나의 레퍼런스 후반 전압 비율을 산출한다. 레퍼런스 후반 전압 비율은, 후반 레퍼런스 전압 실효치를 전체 레퍼런스 전압 실효치로 나눈 값과 동일하다. 전체 레퍼런스 전압 실효치는, 하나의 통전 기간(121)에 히터(23-1)에 인가된 레퍼런스 전압의 실효치를 나타내고, 하나의 통전 기간(121)에 전압계(72)에 의해 측정된 복수의 순간치의 제곱의 평균의 제곱근과 동일하다. 후반 레퍼런스 전압 실효치는, 후반 기간(124)에 히터(23-1)에 인가된 레퍼런스 전압의 실효치를 나타내고, 전체 레퍼런스 전압 실효치로부터 전반 레퍼런스 전압 실효치를 감산한 값을 나타내고 있다. 전반 레퍼런스 전압 실효치는, 전반 기간(123)에 히터(23-1)에 인가된 레퍼런스 전압의 실효치를 나타내고, 전반 기간(123)에 전압계(72)에 의해 측정된 복수의 순간치의 제곱의 평균의 제곱근과 동일하다. 예컨대, 레퍼런스 후반 전압 비율은, 레퍼런스 전압의 변화(122)가 사인 곡선을 대략 따를 때에, 30.9%~32%를 나타내고 있다.
제어 장치(80)는, 히터(23-1)에 대응하는 레퍼런스 후반 전압 비율과 마찬가지로, 복수의 히터(23-1~23-n)에 대응하는 복수의 레퍼런스 후반 전압 비율을 산출한다. 제어 장치(80)는, 이와 같이 산출된 복수의 레퍼런스 후반 전압 비율을 보조 기억 장치(94)에 기록한다.
[플라즈마 에칭 방법]
플라즈마 에칭 방법은, 셋업 방법이 실행된 후에 실행된다. 플라즈마 에칭 방법에서는, 우선, 제어 장치(80)는, 게이트 밸브(3)를 제어하는 것에 의해, 개구부(7)를 개방한다. 피처리체가 되는 웨이퍼(65)는, 개구부(7)가 개방되어 있을 때에, 개구부(7)를 거쳐서 챔버(1)의 처리 공간(5)에 반입되고, 탑재대(11)에 탑재된다. 제어 장치(80)는, 웨이퍼(65)가 탑재대(11)에 탑재된 후에, 직류 전원(31)을 제어하는 것에 의해, 전극(22)에 직류 전압을 인가하고, 쿨롱력에 의해 웨이퍼(65)를 정전 척(17)에 유지한다. 제어 장치(80)는, 웨이퍼(65)가 탑재대(11)에 유지된 후에, 게이트 밸브(3)를 제어하는 것에 의해, 개구부(7)를 폐쇄한다.
제어 장치(80)는, 개구부(7)가 폐쇄되어 있을 때에, 배기 장치(2)를 제어하는 것에 의해, 처리 공간(5)의 분위기가 소정의 진공도가 되도록 진공 흡인한다. 제어 장치(80)는, 또한, 밸브(52)를 제어하는 것에 의해, 소정량의 처리 가스를 처리 가스 공급원(51)으로부터 가스 도입구(46)에 공급한다. 처리 가스 공급원(51)으로부터 가스 도입구(46)에 공급된 처리 가스는, 가스 확산실(45)에 공급된 후에, 복수의 가스 유출구(47)와 복수의 가스 도입구(48)를 거쳐서 챔버(1)의 처리 공간(5)에 샤워 형상으로 공급된다.
제어 장치(80)는, 웨이퍼(65)가 정전 척(17)에 유지되어 있을 때에, 전열 가스 공급부(34)를 제어하는 것에 의해, 전열 가스를 전열 가스 공급 유로(26)에 공급하고, 전열 가스를 정전 척(17)과 웨이퍼(65)의 사이에 공급한다. 제어 장치(80)는, 또한, 칠러 유닛(33)을 제어하는 것에 의해, 소정의 온도로 냉각된 냉매를 냉매 순환 유로(25)에 순환시켜, 정전 척(17)을 냉각한다.
제어 장치(80)는, 웨이퍼(65)가 정전 척(17)에 유지되어 있을 때에, 또한, 전력 공급부(32-1)의 스위치(71)를 개폐하는 것에 의해, 복수의 통전 기간(103)에 교류 전원(74)으로부터 히터(23-1)에 교류 전력을 공급한다. 제어 장치(80)는, 또한, 전력 공급부(32-1)의 전압계(72)를 제어하는 것에 의해, 복수의 통전 기간(103)의 중간 타이밍마다 히터(23-1)에 인가되는 전압의 순간치를 측정한다. 제어 장치(80)는, 또한, 전력 공급부(32-1)의 전류계(73)를 제어하는 것에 의해, 중간 타이밍마다 히터(23-1)에 흐르는 전류의 순간치를 측정한다.
제어 장치(80)는, 그 측정된 전류의 순간치와 전압의 순간치에 근거하여 히터(23-1)의 저항치를 산출한다. 제어 장치(80)는, 변환 테이블(111-1)을 참조하여, 그 산출된 저항치에 근거하여 히터(23-1)의 온도를 산출한다. 제어 장치(80)는, 그 산출된 온도가 복수의 목표 온도 중 히터(23-1)에 대응하는 목표 온도보다 작을 때에, 교류 전압이 양인 복수의 기간에 대한 복수의 통전 기간(103)의 비율이 커지도록, 복수의 통전 기간(103)을 변경한다. 제어 장치(80)는, 그 산출된 온도가 목표 온도보다 클 때에, 교류 전압이 양인 복수의 기간에 대한 복수의 통전 기간(103)의 비율이 작아지도록, 복수의 통전 기간(103)을 변경한다. 제어 장치(80)는, 전력 공급부(32-1)의 스위치(71)를 개폐하는 것에 의해, 그 변경된 복수의 통전 기간(103)에 교류 전원(74)으로부터 히터(23-1)에 교류 전력을 공급한다.
제어 장치(80)는, 이와 같이 복수의 통전 기간(103)의 비율을 변경하는 것에 의해, 히터(23-1)의 온도가 목표 온도가 되도록, 히터(23-1)의 온도를 조정할 수 있다. 제어 장치(80)는, 복수의 히터(23-1~23-n) 중 히터(23-1)와 상이한 다른 히터에 관해서도, 히터(23-1)와 마찬가지로, 복수의 히터(23-1~23-n)의 온도가 각각 복수의 목표 온도가 되도록 조정할 수 있다. 이때, 웨이퍼(65)는, 정전 척(17)과 웨이퍼(65)의 사이에 공급되는 전열 가스를 통해서, 정전 척(17)으로부터 웨이퍼(65)에 전열되는 것에 의해, 웨이퍼(65)의 온도가 소정의 온도가 되도록 조정된다.
제어 장치(80)는, 웨이퍼(65)의 온도가 소정의 온도로 조정되어 있을 때에, 제 1 고주파 전원(35)과 제 2 고주파 전원(36)을 제어하는 것에 의해, 탑재대(11)의 기재(16)에 고주파 전력을 공급한다. 처리 공간(5) 중 탑재대(11)와 샤워 헤드(41)의 사이의 영역에는, 탑재대(11)의 기재(16)에 고주파 전력이 공급되는 것에 의해, 플라즈마가 발생한다. 제어 장치(80)는, 가변 직류 전원(55)과 스위치(57)를 제어하는 것에 의해, 가변 직류 전원(55)으로부터 소정의 크기의 직류 전압을 샤워 헤드(41)에 인가한다. 이때, 웨이퍼(65)는, 처리 공간(5)에 발생한 플라즈마에 의해 에칭된다.
제어 장치(80)는, 웨이퍼(65)가 적절히 에칭된 후에, 제 1 고주파 전원(35)과 제 2 고주파 전원(36)을 제어하는 것에 의해, 탑재대(11)의 기재(16)에 고주파 전력이 공급되는 것을 정지한다. 제어 장치(80)는, 또한, 가변 직류 전원(55)과 스위치(57)를 제어하는 것에 의해, 직류 전압이 샤워 헤드(41)에 인가되지 않도록 한다. 제어 장치(80)는, 또한, 게이트 밸브(3)를 제어하는 것에 의해, 개구부(7)를 개방한다. 제어 장치(80)는, 또한, 직류 전원(31)을 제어하는 것에 의해, 웨이퍼(65)가 정전 척(17)에 유지되는 것을 해제한다. 웨이퍼(65)는, 정전 척(17)에 유지되어 있지 않은 경우에, 개구부(7)가 개방되어 있을 때에, 개구부(7)를 거쳐서 챔버(1)의 처리 공간(5)으로부터 반출된다. 이와 같은 플라즈마 에칭 방법에 의하면, 웨이퍼(65)의 온도가 소정의 온도로 적절히 조정되고, 웨이퍼(65)는, 적절히 에칭될 수 있다.
[인터록 방법]
인터록 방법은, 플라즈마 에칭 방법과 병행하여 실행되고, 즉, 플라즈마 에칭 방법이 실행되고 있을 때에 실행된다. 제어 장치(80)는, 우선, 전력 공급부(32-1)의 전압계(72)를 제어하는 것에 의해, 히터(23-1)에 인가되는 전압의 순간치를 소정의 샘플링 주기로 측정한다. 제어 장치(80)는, 레퍼런스 후반 전압 비율과 마찬가지로, 하나의 통전 기간(121)에 측정된 복수의 순간치에 근거하여 후반 전압 비율을 산출한다. 후반 전압 비율은, 전체 전압 실효치로 후반 전압 실효치를 나눈 값과 동일하다. 전체 전압 실효치는, 하나의 통전 기간(121)에 히터(23-1)에 인가된 전압의 실효치를 나타내고, 하나의 통전 기간(121)에 전압계(72)에 의해 측정된 복수의 순간치의 제곱의 평균의 제곱근과 동일하다. 후반 전압 실효치는, 후반 기간(124)에 히터(23-1)에 인가된 전압의 실효치를 나타내고, 전체 전압 실효치로부터 전반 전압 실효치를 감산한 값을 나타내고 있다. 전반 전압 실효치는, 전반 기간(123)에 히터(23-1)에 인가된 전압의 실효치를 나타내고, 전반 기간(123)에 전압계(72)에 의해 측정된 복수의 순간치의 제곱의 평균의 제곱근과 동일하다.
제어 장치(80)는, 그 산출된 후반 전압 비율을, 셋업 방법으로 산출된 복수의 레퍼런스 후반 전압 비율 중 히터(23-1)에 대응하는 레퍼런스 후반 전압 비율과 비교한다. 제어 장치(80)는, 그 후반 전압 비율로부터 레퍼런스 후반 전압 비율을 감산한 값이 소정의 임계치(예컨대, 5%)보다 클 때에, 복수의 전력 공급부(32-1~32-n)를 제어하는 것에 의해, 복수의 히터(23-1~23-n)에 전력을 공급하는 것을 차단한다. 제어 장치(80)는, 그 후반 전압 비율로부터 레퍼런스 후반 전압 비율을 감산한 값이 소정의 임계치보다 클 때에, 또한, 기판 처리 장치(10)를 제어하는 것에 의해, 플라즈마 에칭 방법을 중단한다.
제어 장치(80)는, 히터(23-1)의 후반 전압 비율과 마찬가지로, 복수의 히터(23-1~23-n)에 대응하는 복수의 후반 전압 비율을 산출한다. 제어 장치(80)는, 히터(23-1)와 상이한 히터의 후반 전압 비율과 레퍼런스 후반 전압 비율의 차이가 소정의 임계치보다 큰 경우도, 히터(23-1)의 경우와 마찬가지로, 복수의 히터(23-1~23-n)의 전력 공급을 차단하고, 플라즈마 에칭 방법을 중단한다.
도 8은 전원 파형 변동 시험에 있어서 복수의 샘플 교류 전압에 대응하는 복수의 온도의 일례를 나타내는 그래프이다. 전원 파형 변동 시험에서는, 상술한 기판 처리 장치(10)의 교류 전원(74)이 프로그래머블 전원으로 치환되어 있다. 프로그래머블 전원은, 파형이 서로 상이한 복수의 샘플 교류 전압을 출력할 수 있다. 도 8의 그래프는, 복수의 샘플 교류 전압을 복수의 온도에 대응시키고 있다. 복수의 온도 중 어느 샘플 교류 전압에 대응하는 온도는, 그 샘플 교류 전압을 이용하여 히터(23-1)의 온도가 60℃가 되도록, 전력 공급부(32-1)가 제어되었을 때의 히터(23-1)의 온도를 나타내고 있다. 복수의 샘플 교류 전압은, 제 1 샘플 교류 전압~제 15 샘플 교류 전압을 포함하고 있다.
도 9는 제 1 샘플 교류 전압의 파형의 일례를 나타내는 도면이다. 복수의 샘플 교류 전압 중 제 1 샘플 교류 전압은, 도 9에 나타나 있는 바와 같이, 전압 변화가 사인 곡선을 따르는 교류 전압을 나타내고 있다. 복수의 샘플 교류 전압 중 제 2 샘플 교류 전압은, 제 1 샘플 교류 전압 중 60도에 대응하는 타이밍에 순간적으로 전압이 75%로 저하되는 교류 전압을 나타내고 있다. 복수의 샘플 교류 전압 중 제 3 샘플 교류 전압은, 제 1 샘플 교류 전압 중 70도에 대응하는 타이밍에 순간적으로 전압이 75%로 저하되는 교류 전압을 나타내고 있다. 복수의 샘플 교류 전압 중 제 4 샘플 교류 전압은, 제 1 샘플 교류 전압 중 80도에 대응하는 타이밍에 순간적으로 전압이 75%로 저하되는 교류 전압을 나타내고 있다.
도 10은 제 5 샘플 교류 전압의 파형의 일례를 나타내는 도면이다. 복수의 샘플 교류 전압 중 제 5 샘플 교류 전압은, 도 10에 나타나 있는 바와 같이, 제 1 샘플 교류 전압 중 90도에 대응하는 타이밍에 순간적으로 전압이 75%로 저하되는 교류 전압을 나타내고 있다. 복수의 샘플 교류 전압 중 제 6 샘플 교류 전압은, 제 1 샘플 교류 전압 중 100도에 대응하는 타이밍에 순간적으로 전압이 75%로 저하되는 교류 전압을 나타내고 있다. 복수의 샘플 교류 전압 중 제 7 샘플 교류 전압은, 제 1 샘플 교류 전압 중 110도에 대응하는 타이밍에 순간적으로 전압이 75%로 저하되는 교류 전압을 나타내고 있다.
복수의 샘플 교류 전압 중 제 8 샘플 교류 전압은, 제 1 샘플 교류 전압에 제 1 샘플 교류 전압의 3%의 7차 고조파가 중첩된 교류 전압을 나타내고 있다. 도 11은 제 9 샘플 교류 전압의 파형의 일례를 나타내는 도면이다. 복수의 샘플 교류 전압 중 제 9 샘플 교류 전압은, 도 11에 나타나 있는 바와 같이, 제 1 샘플 교류 전압에 제 1 샘플 교류 전압의 5%의 9차 고조파가 중첩된 교류 전압을 나타내고 있다. 복수의 샘플 교류 전압 중 제 10 샘플 교류 전압은, 제 1 샘플 교류 전압에 제 1 샘플 교류 전압의 5%의 11차 고조파가 중첩된 교류 전압을 나타내고 있다. 복수의 샘플 교류 전압 중 제 11 샘플 교류 전압은, 제 1 샘플 교류 전압에 제 1 샘플 교류 전압의 5%의 13차 고조파가 중첩된 교류 전압을 나타내고 있다.
복수의 샘플 교류 전압 중 제 12 샘플 교류 전압은, 제 1 샘플 교류 전압에 제 1 샘플 교류 전압의 5%의 15차 고조파가 중첩된 교류 전압을 나타내고 있다. 복수의 샘플 교류 전압 중 제 13 샘플 교류 전압은, 제 1 샘플 교류 전압에 제 1 샘플 교류 전압의 5%의 17차 고조파가 중첩된 교류 전압을 나타내고 있다. 도 12는 제 14 샘플 교류 전압의 파형의 일례를 나타내는 도면이다. 복수의 샘플 교류 전압 중 제 14 샘플 교류 전압은, 도 12에 나타나 있는 바와 같이, 제 1 샘플 교류 전압에 제 1 샘플 교류 전압의 5%의 19차 고조파가 중첩된 교류 전압을 나타내고 있다. 도 13은 제 15 샘플 교류 전압의 파형의 일례를 나타내는 도면이다. 복수의 샘플 교류 전압 중 제 15 샘플 교류 전압은, 도 13에 나타나 있는 바와 같이, 제 1 샘플 교류 전압에 제 1 샘플 교류 전압의 10%의 3차 고조파가 중첩된 교류 전압을 나타내고 있다. 이때, 제 1 샘플 교류 전압~제 15 샘플 교류 전압은, 전압 실효치가 서로 대략 동일하다.
도 8의 그래프는, 복수의 온도 중 제 1 샘플 교류 전압~제 14 샘플 교류 전압에 대응하는 온도가 소정의 온도 범위(131)에 포함되는 것을 나타내고 있다. 도 8의 그래프는, 또한, 복수의 온도 중 제 15 샘플 교류 전압에 대응하는 온도가 소정의 온도 범위(131)에 포함되지 않는 것을 나타내고 있다. 온도 범위(131)는, 복수의 온도 중 제 1 샘플 교류 전압에 대응하는 온도보다 0.25℃ 낮은 온도로부터, 복수의 온도 중 제 1 샘플 교류 전압에 대응하는 온도보다 0.25℃ 높은 온도까지의 범위를 나타내고 있다. 즉, 도 8의 그래프는, 제 1 샘플 교류 전압~제 14 샘플 교류 전압 중 하나가 교류 전원(74)으로부터 출력되는 경우에, 제어 장치(80)가 히터(23-1)를 적절히 온도 조정할 수 있는 것을 나타내고 있다. 도 8의 그래프는, 또한, 교류 전원(74)으로부터 출력되는 교류 전압이 제 1 샘플 교류 전압~제 14 샘플 교류 전압으로부터 제 15 샘플 교류 전압으로 변화한 경우에, 제어 장치(80)가 히터(23-1)를 적절히 온도 조정하지 않게 되는 것을 나타내고 있다.
히터(23-1)에 복수의 샘플 교류 전압의 각각이 인가되었을 때의 히터(23-1)의 온도 변화는, 수학 모델을 이용한 시뮬레이션에 의해, 산출될 수 있다. 도 14는 제 1 샘플 교류 전압 중 반파장분이 히터(23-1)에 인가되었을 때의 히터(23-1)의 저항치의 변화의 일례를 나타내는 그래프이다. 도 14의 그래프의 곡선(133)은, 제 1 샘플 교류 전압의 변화를 나타내고 있다. 곡선(134)은, 히터(23-1)의 저항치의 변화를 나타내고 있다. 도 14의 그래프는, 제 1 샘플 교류 전압 중 반파장분이 히터(23-1)에 인가되었을 때에, 히터(23-1)에 공급되는 전력의 변화가, 히터(23-1)의 저항치의 변화에 이르기까지 1차 지연이 발생하는 것을 나타내고 있다. 즉, 도 14의 그래프는, 히터(23-1)에 인가되는 전압의 변화가 히터(23-1)의 온도의 변화에 이르기까지 1차 지연이 발생하는 것을 나타내고 있다.
도 15는 제 15 샘플 교류 전압 중 반파장분이 히터(23-1)에 인가되었을 때의 히터(23-1)의 저항치의 변화의 일례를 나타내는 그래프이다. 도 15의 그래프의 곡선(136)은, 제 15 샘플 교류 전압의 변화를 나타내고 있다. 곡선(137)은, 히터(23-1)의 저항치의 변화를 나타내고 있다. 도 15의 그래프는, 도 14와 마찬가지로, 히터(23-1)에 인가되는 전압의 변화가 히터(23-1)의 온도의 변화에 이르기까지 1차 지연이 발생하는 것을 나타내고 있다. 도 14의 그래프와 도 15의 그래프는, 제 15 샘플 교류 전압의 인가가 종료된 타이밍에서의 히터(23-1)의 온도가, 제 1 샘플 교류 전압의 인가가 종료된 타이밍에서의 히터(23-1)의 온도보다 높은 것을 나타내고 있다. 즉, 도 14의 그래프와 도 15의 그래프는, 히터(23-1)에 인가되는 전압의 실효치가 동일한 경우에도, 히터(23-1)에 인가되는 전압의 파형이 상이할 때에, 히터(23-1)의 온도가 상이한 일이 있는 것을 나타내고 있다.
도 16은 복수의 샘플 교류 전압에 대응하는 복수의 후반 전압 비율의 일례를 나타내는 그래프이다. 도 16의 그래프는, 제 1 샘플 교류 전압~제 14 샘플 교류 전압의 후반 전압 비율이 소정의 범위 내에 포함되어 있는 것을 나타내고, 제 1 샘플 교류 전압~제 14 샘플 교류 전압의 후반 전압 비율이 소정의 임계치(139)(예컨대, 37%)보다 작은 것을 나타내고 있다. 도 16의 그래프는, 또한, 제 15 샘플 교류 전압의 후반 전압 비율이 소정의 임계치(139)보다 큰 것을 나타내고, 제 15 샘플 교류 전압의 후반 전압 비율이 소정의 범위 내에 포함되어 있지 않은 것을 나타내고 있다.
도 8의 그래프와 도 16의 그래프는, 소정의 임계치(139)보다 작은 후반 전압 비율에 대응하는 샘플 교류 전압이 교류 전원(74)으로부터 출력되었을 때에, 제어 장치(80)에 의해 온도 조정된 히터(23-1)의 온도가 소정의 온도 범위(131)에 포함되는 것을 나타내고 있다. 도 8의 그래프와 도 16의 그래프는, 소정의 임계치(139)보다 큰 후반 전압 비율에 대응하는 샘플 교류 전압이 교류 전원(74)으로부터 출력되었을 때에, 제어 장치(80)에 의해 온도 조정된 히터(23-1)의 온도가 소정의 온도 범위(131)에 포함되지 않는 것을 나타내고 있다. 즉, 도 8의 그래프와 도 16의 그래프는, 소정의 임계치(139)보다 작은 후반 전압 비율에 대응하는 샘플 교류 전압이 교류 전원(74)으로부터 출력되었을 때에, 제어 장치(80)가 히터(23-1)를 적절히 온도 조정할 수 있는 것을 나타내고 있다. 도 8의 그래프와 도 16의 그래프는, 또한, 소정의 임계치(139)보다 큰 후반 전압 비율에 대응하는 샘플 교류 전압이 교류 전원(74)으로부터 출력되었을 때에, 히터(23-1)가 적절히 온도 조정되지 않고, 온도에 이상이 발생하는 일이 있는 것을 나타내고 있다.
이 때문에, 기판 처리 방법은, 후반 전압 비율을 산출하는 것에 의해, 온도가 적절히 조정되고 있는지 여부를 판정할 수 있다. 기판 처리 방법은, 온도가 적절히 조정되고 있는지 여부가 판정되는 것에 의해, 플라즈마 에칭 처리를 적절히 인터록 처리할 수 있고, 온도의 이상이 웨이퍼(65)에 미치는 영향을 저감할 수 있다.
실시 형태의 기판 처리 방법은, 히터(23-1)에 인가되는 전압의 변화를 측정하는 것과, 웨이퍼(65)의 온도에 관한 이상이 발생하고 있는지 여부를 그 전압의 변화에 근거하여 판정하는 것을 구비하고 있다. 기판 처리 방법은, 그 이상이 발생하고 있다고 판정되었을 때에, 웨이퍼(65)를 처리하는 것을 정지하는 것을 구비하고 있다. 히터(23-1)의 온도는, 히터(23-1)에 인가되는 전압의 파형이 레퍼런스 전압의 파형과 상이할 때에, 목표 온도에 대한 오차가 커지는 일이 있다. 이와 같은 기판 처리 방법은, 히터(23-1)에 인가되는 전압의 변화에 근거하여 온도가 적절히 조정되고 있는지 여부가 판정되는 것에 의해, 웨이퍼(65)의 온도의 이상을 적절히 판정할 수 있다. 기판 처리 방법은, 온도가 적절히 조정되고 있는지 여부가 판정되는 것에 의해, 온도의 이상이 웨이퍼(65)에 미치는 영향을 저감할 수 있다.
또한, 실시 형태의 기판 처리 방법은, 히터(23-1)에 흐르는 전류를 측정하는 것과, 변환 테이블(111-1)을 참조하여, 전압과 전류에 근거하여 산출되는 히터(23-1)의 저항치로부터 히터(23-1)의 온도를 산출하는 것을 구비하고 있다. 여기서, 변환 테이블(111-1)은, 복수의 저항치(113)를 복수의 온도(112)에 대응시키고 있다. 기판 처리 방법은, 교류 전원(74)으로부터 히터(23-1)에 전력을 공급하거나, 전력이 교류 전원(74)으로부터 히터(23-1)에 공급되는 것을 차단하거나 하는 스위치(71)를, 그 산출된 온도에 근거하여 제어하는 것을 더 구비하고 있다. 이와 같은 기판 처리 방법은, 웨이퍼(65)를 가열하는 히터(23-1)에 인가되는 전압의 변화에 근거하여 온도가 적절히 조정되고 있는지 여부가 판정되는 것에 의해, 웨이퍼(65)의 온도의 이상을 적절히 판정할 수 있다.
또한, 실시 형태의 기판 처리 방법은, 레퍼런스 전력이 히터(23-1)에 공급되고 있는 레퍼런스 기간에, 히터(23-1)에 인가되는 레퍼런스 전압의 변화를 측정하는 것과, 히터(23-1)에 흐르는 레퍼런스 전류의 변화를 측정하는 것을 구비하고 있다. 기판 처리 방법은, 레퍼런스 기간에 히터(23-1)의 온도의 변화를 측정하는 것과, 레퍼런스 전압의 변화와 레퍼런스 전류의 변화와 히터(23-1)의 온도의 변화에 근거하여 변환 테이블(111-1)을 작성하는 것을 더 구비하고 있다. 이때, 이상이 발생하고 있는지 여부는, 레퍼런스 전압의 변화에 더 근거하여 판정된다. 이와 같은 기판 처리 방법은, 히터(23-1)의 온도 조정에 이용되는 변환 테이블(111-1)이 작성될 때에 이용된 레퍼런스 전압의 변화가, 온도 이상의 발생의 판정에 이용되는 것에 의해, 웨이퍼(65)의 온도의 이상을 적절히 판정할 수 있다.
또한, 실시 형태의 기판 처리 방법에 있어서, 이상이 발생하고 있는지 여부는, 전체 전압 실효치로 후반 전압 실효치를 나눈 후반 전압 비율에 근거하여 판정된다. 이때, 전체 전압 실효치는, 히터(23-1)에 전력이 공급되고 있는 통전 기간(121)에 히터(23-1)에 인가된 전압의 실효치를 나타내고 있다. 후반 전압 실효치는, 통전 기간(121) 중 하나의 타이밍(125)으로부터 통전 기간(121)의 최후까지의 후반 기간(124)에 히터(23-1)에 인가된 전압의 실효치를 나타내고 있다. 이와 같은 기판 처리 방법은, 후반 전압 비율이 온도 조정의 이상의 발생의 판정에 이용되는 것에 의해, 웨이퍼(65)의 온도의 이상을 적절히 판정할 수 있다.
또한, 실시 형태의 기판 처리 방법에 있어서, 후반 기간(124)의 길이는, 통전 기간(121)의 길이의 1/4과 동일하다. 이때, 후반 전압 비율은, 이상이 발생하고 있는지 여부의 판정에 적절하고, 기판 처리 방법은, 온도가 적절히 조정되고 있는지 여부를 판정할 수 있고, 온도의 이상이 웨이퍼(65)에 미치는 영향을 저감할 수 있다.
또한, 실시 형태의 기판 처리 방법은, 통전 기간(121)에 측정된 전압의 변화에 근거하여 전체 전압 실효치를 산출하는 것과, 전반 기간(123)에 측정된 전압의 변화에 근거하여 전반 전압 실효치를 산출하는 것을 구비하고 있다. 기판 처리 방법은, 전체 전압 실효치로부터 전반 전압 실효치를 감산하는 것에 의해 후반 전압 실효치를 산출하는 것을 더 구비하고 있다. 통전 기간(121)의 최후는, 통전 기간(121)의 최초와 타이밍(125)에 비교하여, 적절히 판정되기 어렵고, 이 때문에, 후반 전압 실효치는, 후반 기간(124)에 측정된 전압에 근거하여 산출되었을 때에, 오차가 큰 일이 있다. 이와 같은 기판 처리 방법은, 후반 전압 실효치가 전반 전압 실효치와 전체 전압 실효치로부터 산출되는 것에 의해, 후반 전압 비율을 적절히 산출할 수 있다. 기판 처리 방법은, 후반 전압 비율이 적절히 산출되는 것에 의해, 온도가 적절히 조정되고 있는지 여부를 판정할 수 있고, 온도의 이상이 웨이퍼(65)에 미치는 영향을 저감할 수 있다.
그런데, 상술한 기판 처리 방법에서는, 후반 전압 실효치가 전체 전압 실효치로부터 전반 전압 실효치를 감산하는 것에 의해 산출되고 있지만, 전반 기간(123)에 전압계(72)에 의해 측정된 전압에 근거하여 산출되더라도 좋다. 이 경우도, 기판 처리 방법은, 온도가 적절히 조정되고 있는지 여부를 판정할 수 있고, 온도의 이상이 웨이퍼(65)에 미치는 영향을 저감할 수 있다.
그런데, 상술한 기판 처리 방법에서는, 후반 기간(124)의 길이가 통전 기간(121)의 길이의 1/4과 동일하지만, 후반 기간(124)의 길이가 통전 기간(121)의 길이의 1/4과 상이하더라도 좋다. 예컨대, 후반 기간(124)의 길이는, 통전 기간(121)의 길이의 1/5과 동일하더라도 좋다. 이 경우도, 기판 처리 방법은, 온도가 적절히 조정되고 있는지 여부를 판정할 수 있고, 온도의 이상이 웨이퍼(65)에 미치는 영향을 저감할 수 있다.
그런데, 상술한 기판 처리 방법에서는, 히터(23-1)에 인가되는 전압의 변화에 근거하여 온도의 이상이 발생하고 있는지 여부가 판정되고 있지만, 히터(23-1)와 상이한 부품에 인가되는 전압의 변화에 근거하여 온도가 적절히 조정되고 있는지 여부가 판정되더라도 좋다. 이 경우도, 기판 처리 방법은, 온도가 적절히 조정되고 있는지 판정할 수 있고, 온도의 이상이 웨이퍼(65)에 미치는 영향을 저감할 수 있다.
실시 형태의 기판 처리 장치(10)는, 히터(23-1)에 인가되는 전압의 변화를 측정하는 전압계(72)와, 웨이퍼(65)의 온도에 관한 이상이 발생하고 있는지 여부를 그 전압의 변화에 근거하여 판정하는 제어 장치(80)를 구비하고 있다. 제어 장치(80)는, 또한, 그 이상이 발생하고 있다고 판정되었을 때에, 웨이퍼(65)를 처리하는 것을 정지하도록, 기판 처리 장치(10)를 제어한다. 이와 같은 기판 처리 장치(10)는, 히터(23-1)에 인가되는 전압의 변화에 근거하여 온도가 적절히 조정되고 있는지 여부를 판정할 수 있다. 기판 처리 장치(10)는, 온도가 적절히 조정되고 있는지 판정되는 것에 의해, 온도의 이상이 웨이퍼(65)에 미치는 영향을 저감할 수 있다.
또, 상술한 기판 처리 장치(10)에서는, 플라즈마가 이용되어 웨이퍼(65)가 에칭되지만, 그 플라즈마로서는, 다양한 것이 이용될 수 있다. 그 플라즈마로서는, CCP(Capacitively Coupled Plasma), ICP(Inductively Coupled Plasma), Radial Line Slot Antenna, ECR(Electron Cyclotron Resonance Plasma), HWP(Helicon Wave Plasma)가 예시된다.
10 : 기판 처리 장치
17 : 정전 척
23-1~23-n : 복수의 히터
32-1~32-n : 복수의 전력 공급부
65 : 웨이퍼
66-1~66-n : 복수의 분할 영역
71 : 스위치
72 : 전압계
73 : 전류계
74 : 교류 전원
80 : 제어 장치
111-1~111-n : 복수의 변환 테이블
112 : 복수의 온도
113 : 복수의 저항치
121 : 통전 기간
123 : 전반 기간
124 : 후반 기간
125 : 타이밍
131 : 온도 범위
139 : 소정의 임계치

Claims (9)

  1. 기판을 처리하는 기판 처리 장치에 마련된 부품에 인가되는 전압의 변화와 상기 부품에 흐르는 전류를 측정하는 것과,
    복수의 저항치를 복수의 온도에 대응시키는 변환 테이블을 참조하여, 상기 전압과 상기 전류에 근거하여 산출되는 저항치의 변화로부터 상기 부품의 온도를 산출하는 것과,
    상기 기판의 온도에 관한 이상이 발생하고 있는지 여부를 상기 전압의 변화에 근거하여 판정하는 것과,
    상기 이상이 발생하고 있다고 판정되었을 때에, 상기 기판을 처리하는 것을 정지하는 것
    을 구비하는 기판 처리 방법.
  2. 제 1 항에 있어서,
    레퍼런스 전력이 상기 부품에 공급되고 있는 레퍼런스 기간에 상기 부품에 인가되는 레퍼런스 전압의 변화를 측정하는 것과,
    상기 레퍼런스 기간에 상기 부품에 흐르는 레퍼런스 전류의 변화를 측정하는 것과,
    상기 레퍼런스 기간에 상기 부품의 온도의 변화를 측정하는 것과,
    상기 레퍼런스 전압의 변화와 상기 레퍼런스 전류의 변화와 상기 부품의 온도의 변화에 근거하여 상기 변환 테이블을 작성하는 것
    을 더 구비하고,
    상기 이상이 발생하고 있는지 여부는, 상기 레퍼런스 전압의 변화에 더 근거하여 판정되는
    기판 처리 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 이상이 발생하고 있는지 여부는, 상기 전압의 변화에 근거하여 산출되는 실효치에 근거하여 판정되는 기판 처리 방법.
  4. 제 3 항에 있어서,
    상기 이상이 발생하고 있는지 여부는, 제 1 실효치로 제 2 실효치를 나눈 전압 비율에 근거하여 판정되고,
    상기 제 1 실효치는, 상기 부품에 전력이 공급되고 있는 통전 기간에 상기 부품에 인가된 전압의 실효치를 나타내고,
    상기 제 2 실효치는, 상기 통전 기간 중 하나의 타이밍으로부터 상기 통전 기간의 최후까지의 기간에 상기 부품에 인가된 전압의 실효치를 나타내는
    기판 처리 방법.
  5. 제 4 항에 있어서,
    상기 후반 기간의 길이는, 상기 통전 기간의 길이의 1/4과 동일한 기판 처리 방법.
  6. 제 4 항 또는 제 5 항에 있어서,
    상기 통전 기간에 측정된 전압의 변화에 근거하여 상기 제 1 실효치를 산출하는 것과,
    상기 통전 기간의 최초로부터 상기 타이밍까지의 기간에 측정된 전압의 변화에 근거하여, 상기 통전 기간의 최초로부터 상기 타이밍까지의 상기 기간에 상기 부품에 인가된 전압의 실효치를 나타내는 제 3 실효치를 산출하는 것과,
    상기 제 1 실효치로부터 상기 제 3 실효치를 감산하는 것에 의해 상기 제 2 실효치를 산출하는 것
    을 더 구비하는 기판 처리 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    교류 전원으로부터 상기 부품에 전력을 공급하거나, 상기 전력이 상기 교류 전원으로부터 상기 부품에 공급되는 것을 차단하거나 하는 스위치를, 상기 부품의 온도에 근거하여 제어함으로써 상기 기판을 처리하는 것을 정지하는 것을 더 구비하는 기판 처리 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 부품은, 전력이 공급되는 것에 의해 상기 기판을 가열하는 히터인 기판 처리 방법.
  9. 기판을 처리하는 처리부와,
    상기 처리부에 마련된 부품에 인가되는 전압의 변화를 측정하는 전압계와,
    상기 부품에 흐르는 전류를 측정하는 전류계와,
    복수의 저항치를 복수의 온도에 대응시키는 변환 테이블을 참조하여, 상기 전압과 상기 전류에 근거하여 산출되는 저항치로부터 상기 부품의 온도를 산출하는 제어부
    를 구비하고,
    상기 제어부는, 또한, 상기 기판의 온도에 관한 이상이 발생하고 있는지 여부를 상기 전압의 변화에 근거하여 판정하고, 상기 이상이 발생하고 있다고 판정되었을 때에, 상기 기판을 처리하는 것을 정지하도록, 상기 처리부를 제어하는
    기판 처리 장치.
KR1020190063523A 2018-05-31 2019-05-30 기판 처리 방법 및 기판 처리 장치 KR20190136986A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2018-104881 2018-05-31
JP2018104881A JP6971199B2 (ja) 2018-05-31 2018-05-31 基板処理方法および基板処理装置

Publications (1)

Publication Number Publication Date
KR20190136986A true KR20190136986A (ko) 2019-12-10

Family

ID=68694214

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190063523A KR20190136986A (ko) 2018-05-31 2019-05-30 기판 처리 방법 및 기판 처리 장치

Country Status (5)

Country Link
US (1) US10896832B2 (ko)
JP (1) JP6971199B2 (ko)
KR (1) KR20190136986A (ko)
CN (1) CN110556286B (ko)
TW (1) TW202004383A (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220172925A1 (en) * 2019-03-13 2022-06-02 Lam Research Corporation Electrostatic chuck heater resistance measurement to approximate temperature
JP7466377B2 (ja) 2020-05-21 2024-04-12 東京エレクトロン株式会社 基板処理装置
WO2023170737A1 (ja) * 2022-03-07 2023-09-14 株式会社アドバンテスト 温度制御装置、試験装置、温度制御方法、および温度制御プログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006283173A (ja) 2005-04-04 2006-10-19 Tokyo Electron Ltd 基板処理方法および基板処理装置
JP2017228230A (ja) 2016-06-24 2017-12-28 東京エレクトロン株式会社 基板処理システムおよび温度制御方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3121110B2 (ja) * 1992-04-30 2000-12-25 キヤノン株式会社 定着装置
JP2000339039A (ja) * 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
JP2001077183A (ja) * 1999-06-09 2001-03-23 Ibiden Co Ltd 半導体製造・検査装置用セラミック基板およびその製造方法
US20040081439A1 (en) * 2000-05-04 2004-04-29 Applied Materials, Inc. Actively-controlled electrostatic chuck heater
US7176508B2 (en) * 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
JP2006165200A (ja) * 2004-12-06 2006-06-22 Kokusai Electric Semiconductor Service Inc 半導体製造装置における抵抗加熱ヒータの抵抗値検出装置、半導体製造装置における抵抗加熱ヒータの劣化診断装置及びネットワークシステム
KR100966375B1 (ko) * 2005-04-04 2010-06-28 가부시키가이샤 코쿠사이덴키 세미컨덕터 서비스 공급전력 조정기 및 반도체 제조장치
JP2010010214A (ja) * 2008-06-24 2010-01-14 Oki Semiconductor Co Ltd 半導体装置の製造方法、半導体製造装置、及び記憶媒体
JP2010101742A (ja) * 2008-10-23 2010-05-06 Nikon Corp 温度計測装置、気体供給装置、及び露光装置
JP5567318B2 (ja) * 2009-11-20 2014-08-06 株式会社国際電気セミコンダクターサービス 電力供給システム、基板処理装置、半導体製造装置および劣化診断方法
JP5689283B2 (ja) * 2010-11-02 2015-03-25 東京エレクトロン株式会社 基板処理方法及びその方法を実行するプログラムを記憶する記憶媒体
JP5405504B2 (ja) * 2011-01-31 2014-02-05 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP6203476B2 (ja) * 2011-03-08 2017-09-27 東京エレクトロン株式会社 基板温度制御方法及びプラズマ処理装置
US8552346B2 (en) * 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
WO2013025852A1 (en) * 2011-08-17 2013-02-21 Lam Research Corporation A system and method for monitoring temperatures of and controlling multiplexed heater array
JP6100672B2 (ja) * 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6570894B2 (ja) * 2015-06-24 2019-09-04 東京エレクトロン株式会社 温度制御方法
JP6432458B2 (ja) * 2015-07-07 2018-12-05 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR102581356B1 (ko) * 2016-08-30 2023-09-21 삼성전자주식회사 기판 처리 장치의 이상 진단 방법 및 이를 수행하기 위한 장치
JP2018063974A (ja) * 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006283173A (ja) 2005-04-04 2006-10-19 Tokyo Electron Ltd 基板処理方法および基板処理装置
JP2017228230A (ja) 2016-06-24 2017-12-28 東京エレクトロン株式会社 基板処理システムおよび温度制御方法

Also Published As

Publication number Publication date
TW202004383A (zh) 2020-01-16
JP2019212670A (ja) 2019-12-12
CN110556286A (zh) 2019-12-10
US20190371634A1 (en) 2019-12-05
US10896832B2 (en) 2021-01-19
JP6971199B2 (ja) 2021-11-24
CN110556286B (zh) 2024-04-12

Similar Documents

Publication Publication Date Title
KR102465660B1 (ko) 기판 처리 시스템 및 온도 제어 방법
CN110010439B (zh) 等离子体蚀刻装置和等离子体蚀刻方法
US20240155737A1 (en) Substrate processing system and substrate processing method
US7359177B2 (en) Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US8313664B2 (en) Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
KR20190136986A (ko) 기판 처리 방법 및 기판 처리 장치
KR101840231B1 (ko) 플라스마 처리 장치
KR102324723B1 (ko) 온도 제어 장치, 온도 제어 방법 및 프로그램
JP7204595B2 (ja) 補正情報作成方法、基板処理方法、および基板処理システム
JP6961025B2 (ja) 基板処理システム
US20200177825A1 (en) Calibration method of infrared camera and calibration system of infrared camera
US20220070977A1 (en) Substrate processing apparatus and noise impact reducing method
US20210265145A1 (en) Plasma processing apparatus and method of measuring temperature of members
JP7466377B2 (ja) 基板処理装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal