KR20190036538A - 기체상 또는 액상 에피택시를 이용한 gan 후막화를 위한 시드 웨이퍼 - Google Patents

기체상 또는 액상 에피택시를 이용한 gan 후막화를 위한 시드 웨이퍼 Download PDF

Info

Publication number
KR20190036538A
KR20190036538A KR1020197004750A KR20197004750A KR20190036538A KR 20190036538 A KR20190036538 A KR 20190036538A KR 1020197004750 A KR1020197004750 A KR 1020197004750A KR 20197004750 A KR20197004750 A KR 20197004750A KR 20190036538 A KR20190036538 A KR 20190036538A
Authority
KR
South Korea
Prior art keywords
gan
substrate
layer
energy
cleaving
Prior art date
Application number
KR1020197004750A
Other languages
English (en)
Inventor
프란시스 제이. 헨리
Original Assignee
큐맷, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 큐맷, 인코포레이티드 filed Critical 큐맷, 인코포레이티드
Publication of KR20190036538A publication Critical patent/KR20190036538A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B19/00Liquid-phase epitaxial-layer growth
    • C30B19/12Liquid-phase epitaxial-layer growth characterised by the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/183Epitaxial-layer growth characterised by the substrate being provided with a buffer layer, e.g. a lattice matching layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02425Conductive materials, e.g. metallic silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02623Liquid deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2654Bombardment with radiation with high-energy radiation producing ion implantation in AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3245Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68363Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving transfer directly from an origin substrate to a target substrate without use of an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

본 발명의 실시예들은 추가적인 GaN 물질의 형성을 위한 템플릿으로 작용하는 고품질의 얇은 단결정 GaN 층을 포함하는 웨이퍼를 제조하는 것에 관한 것이다. GaN 물질의 벌크 잉곳은 표면 아래 클리빙 영역을 형성하도록 주입된다. 주입된 벌크 물질은 GaN과 양립가능한 격자 및/또는 열팽창 계수(CTE) 특성을 갖는 기판에 본딩된다. 이러한 기판 물질의 예는 AlN과 멀라이트를 포함할 수 있지만, 이에 국한되는 것은 아니다. GaN 시드 층은 제어된 클리빙 프로세스에 의해 주입된 벌크 물질로부터 기판 표면으로 이송된다. 기판과 GaN 시드 층의 결과적인 조합은 그 위에 놓이는 고품질 GaN의 후속 성장을 위한 템플릿을 형성할 수 있다. 고품질 GaN의 성장은 액상 에피택시(Liquid Phase Epitaxy: LPE) 또는 기체상 에피택시(gas phase epitaxy), 예를 들어, 금속-유기 화학 기상 증착(Metallo-Organic Chemical Vapor Deposition: MOCVD) 또는 수소화물 기상 에피택시(Hydride Vapor Phase Epitaxy: HVPE)와 같은 기술을 이용하여 일어날 수 있다.

Description

기체상 또는 액상 에피택시를 이용한 GAN 후막화를 위한 시드 웨이퍼
관련 출원에 대한 상호 참조
본 특허출원은 2016년 8월 2일자로 출원된 미국 임시특허출원 제62/370,169호 및 2016년 8월 22일자로 출원된 미국 임시특허출원 제62/378,126호를 기초로 우선권을 주장하고, 상기 출원들은 전체로서 모든 목적을 위해 본 명세서에 참조에 의해 편입된다.
본 발명의 실시예들은 추가적인 GaN 물질을 형성하기 위한 템플릿(template)으로 작용하는 고품질의 얇은 단결정 GaN 시드 층(seed layer)을 포함하는 웨이퍼를 제조하는 것에 관한 것이다.
미국 특허출원공개 제2014/0197419호가 전체로서 모든 목적을 위해 본 명세서에 참조에 의해 편입된다.
본 발명의 실시예들은 추가적인 GaN 물질을 형성하기 위한 템플릿(template)으로 작용하는 고품질의 얇은 단결정 GaN 시드 층(seed layer)을 포함하는 웨이퍼를 제조하는 것에 관한 것이다.
GaN 물질의 벌크 잉곳(bulk ingot)은 표면 아래 클리빙 영역을 형성하도록 주입된다. 주입된 벌크 물질은 GaN과 양립가능한 격자 및/또는 열팽창 계수(Coefficient of Thermal Expansion: CTE) 특성을 갖는 기판에 본딩(bonding)된다. 이러한 기판 물질의 예는 AlN 및 멀라이트(mullite)를 포함할 수 있지만, 이에 국한되지는 않는다. GaN 시드 층은 제어된 클리빙 프로세스에 의해 주입된 벌크 물질로부터 기판 표면으로 이송된다. 아래에 놓인 기판과 GaN 시드 층의 결과적인 조합은 그 위에 놓이는 추가적인 고품질 GaN 물질의 후속 성장을 위한 템플릿을 형성할 수 있다. 이러한 고품질 GaN의 성장은 액상 에피택시(Liquid Phase Epitaxy: LPE) 또는 기상 에피택시(vapor phase epitaxy), 예를 들어, 금속-유기 화학 기상 증착(Metallo-Organic Chemical Vapor Deposition: MOCVD) 또는 수소화물 기상 에피택시(Hydride Vapor Phase Epitaxy: HVPE)와 같은 기술을 이용하여 일어날 수 있다. 시드 층 및 그 위에 성장된 추가적인 GaN을 갖는 기판은 고휘도(high-brightness) 발광 다이오드와 같은 전기-광학(electro-optical) 디바이스에 통합될 수 있다.
도 1a는 일 실시예에 의하여 제조될 수 있는 시드 웨이퍼의 단순화된 도면이다.
도 1b는 광전자(optoelectronic) 디바이스를 생성하기 위한 도 1a의 시드 웨이퍼의 추가적인 처리를 도시한다.
도 1c는 극성(polar) 및 무극성(non-polar) 형태의 GaN을 도시한다.
도 1d는 극성 GaN의 Ga 및 N면을 도시한다.
도 2a는 일 실시예에 의한 프로세스의 단순화된 흐름도를 도시한다.
도 2b는 일 실시예에 의한 GaN 성장 프로세스를 단순화하여 도시한 도면이다.
도 3a 내지 도 3c는 일 실시예에 의한 프로세스를 단순화하여 도시한 도면이다.
도 4는 일 실시예에 의한 프로세스를 단순화하여 도시한 도면이다.
도 5는 일 실시예에 의한 프로세스를 단순화하여 도시한 도면이다.
반도체 물질은, 예를 들어, 로직 디바이스, 태양 전지, 및 갈수록 조명(illumination)의 형성에 있어서 많은 용도를 발견한다. 조명에 사용될 수 있는 반도체 디바이스의 한 유형은 고휘도 발광 다이오드(high-brightness light emitting diode: HB-LED)이다. 전통적인 백열등이나 심지어 형광 조명 기술과는 대조적으로, HB-LED는 감소된 전력 소비 및 신뢰성의 측면에서 상당한 이점을 제공한다.
HB-LED와 같은 광전자 디바이스는, 다양한 정도의 결정성(crystalline)에서 이용가능한 질화 갈륨(gallium nitride: GaN)과 같은 타입 III/V 물질을 포함하지만 이에 국한되지 않는, 반도체 특성을 나타내는 물질들에 의존할 수 있다. 그러나, 이 물질들은 보통 제조하기 어렵다.
하나의 제조 방법이 도 1a 및 1b에 도시되어 있다. 여기서, 시드 작업물(100)이 제공된다. 이 템플릿 블랭크(blank)는 기판(104) 위에 놓여 있고 일반적으로 산화물을 포함하는 유전체층(106)에 의해 기판으로부터 분리된 GaN의 얇고 고품질인 시드 층(102)을 포함한다.
특정 실시예에 의하면, 기판 물질은 GaN 물질과 양립가능한 열팽창 계수(CTE) 특성을 갖도록 선택될 수 있다. 기판 물질에 대한 가능한 후보의 특정 예는 AlN, 멀라이트, 몰리브덴(Molybdenum) 및 텅스텐을 포함한다. 아래는 예시 표이다.
물질 CTE
GaN 5.5×10-6K-1
AlN 5.2×10-6K-1
멀라이트(3Al2O3·2SiO2) 5.4×10-6K-1
몰리브덴 5.0×10-6K-1
텅스텐 4.5×10-6K-1
상기 물질이 열적, 화학적 및 기계적 요구를 충족시킬 수 있다면, 기판은 유리, 석영(quartz), 금속 또는 금속 합금, 또는 다른 합성물(composite) 등을 포함할 수 있다. 다른 실시예에서, 기판은 임의의 균질한, 단계적인(graded) 또는 다층 물질, 또는 이들의 임의의 조합을 포함할 수 있다. 즉, 상기 기판은 거의 모든 단결정성(monocrystalline), 다결정성(polycrystalline) 또는 심지어 비정질(amorphous) 유형의 기판으로 만들어질 수 있다. 가공된 합금을 사용하면, 베이스 물질이 GaN 팽창 계수와 더 잘 정합(match)되도록 조정될 수 있다. 예를 들어, 몰리브덴-구리(Mo:Cu) 합금은 벌크 GaN에 더 잘 정합되도록 조정될 수 있다.
도 1b에 도시된 바와 같이, 시드 템플릿의 GaN 시드 층의 노출된 표면을 처리하면 추가적인 두께(110)의 고품질 GaN 물질을 형성할 수 있다. 추가적인 두께의 GaN 물질(기판 및/또는 유전체 물질을 동반하거나 또는 동반하지 않음)은 궁극적으로 더 큰 광전자 디바이스 구조(예를 들어 HB-LED)에 통합될 수도 있다.
전형적으로, 에피택셜 프로세스에 의해 시드 층 위에 수 백 마이크론(micron)에서 수 센티미터 정도의 두께가 성장될 수 있다. 다양한 실시예들에 의하면, 추가적인 GaN 물질은 아래에 놓인 시드 층보다 상당히 더 큰 두께까지 성장될 수 있다. 예를 들어, 수 밀리미터에서 수 센티미터의 GaN 성장 두께는 연삭(grinding), 폴리싱(polishing) 및 다중 와이어 웨이퍼 소잉(multi-wire wafer sawing)과 같은 웨이퍼 작업이 GaN 물질을 일반적으로 200-500 um 두께의 개별적인 자립형(free-standing) 기판들로 싱귤레이트(singulate)할 수 있게 한다. 특정 실시예에 의하면, 시드 층은 약 100-5000 nm 사이의 두께를 갖고, 추가적인 GaN은 약 0.01-10 cm 사이의 두께를 갖는다. 몇몇 실시예에서, 시드 층은 약 0.5-2 um 사이의 두께를 갖고, 추가적인 GaN은 약 0.5-2 cm 사이의 두께를 갖는다. 특정 실시예에서, 시드 층은 약 0.5-1 um 사이의 두께를 갖고, 추가적인 GaN은 약 0.5-1 cm 사이의 두께를 갖는다.
시드 층을 보유한 작업물 상에 추가적인 고품질의 GaN 물질을 성장시키기 위한 하나의 접근법은 액상 에피택시(Liquid Phase Epitaxy: LPE)를 통하는 것이다. 이러한 LPE는 액체 용액으로부터 고체의, 단결정 GaN을 성장시키는 것을 포함한다. LPE는 온도, 압력, 용질(solute), 용제(solvent) 및 농도와 같은 다른 조건 하에서 발생할 수 있다. 예시들은 모리(Mori) 등의 "Na 플럭스(Flux) 방법에 의한 GaN 결정의 성장(Growth of GaN Crystals by Na Flux Method)" (ECS Journal of Solid State Science and Technology, vol. 2, 발행 8호(2013)), 및 에런트라우트(Ehrentraut), 마이스너(Meissner)와 보코스키(Bockowski)가 편집한 "질화 갈륨 결정 성장의 기술(Technology of Gallium Nitride Crystal Growth)"(2010)에 실린 에런트라우트 및 마이스너의 "제11장 대형 GaN 결정의 성장을 위한 Na 플럭스 방법에 관한 간략한 고찰(Chapter 11 A Brief Review on the Na-Flux Method Toward Growth of Large-Size GaN Crystal)"에 개시되어 있으며, 이들은 전체적으로 모든 목적을 위해 본 명세서에서 참조에 의해 편입된다.
희석 용액을 사용하여 수행되는 LPE는 특정한 이점들을 제공할 수 있다. 그중 하나는 향상된 두께 제어를 위한 더 낮은 성장 속도의 적용을 허용하는 것이다. 또 다른 하나는 구조적 완전성 및 화학량론(stoichiometry)을 향상시키고 기판과 에피층(epilayer)의 열팽창 차이의 악영향을 감소시키기 위해 더 낮은 성장 온도를 적용하는 것일 수 있다. 희석 LPE의 세 번째 이점은 원하지 않는 자발적으로 핵형성된 결정자(spontaneously nucleated crystallites)의 위험을 감소시키는 것일 수 있다.
LPE는 기상(vapor phase) 기술에 비해 특정한 이점들을 제공할 수 있다. 특히, 열역학적 관점에서, 기상의 반응물 및 고체상의 생성물과 비교할 때, 액상의 반응물과 고체상의 생성물 사이의 깁스 자유 에너지(Gibbs free energy)의 차이가 현저히 작다. LPE를 사용하는 층 증착 중에 근접 평형(near-equilibrium) 조건이 발생함으로 인해, 층들의 구조적 완전성이 뛰어날 수 있고 준-원자적으로(quasi-atomically) 평평한 표면 및 계면을 얻을 수 있다. 또한, 층들의 일반적으로 우수한 화학량론을 얻을 수 있다.
물론, 실시예들은 LPE와 관련한 시드 작업물의 사용으로 국한되지 않고, 기상 에피택시(vapor phase epitaxy) 또한 초기 시드 층으로부터 GaN 물질을 두껍게 하는데 사용될 수 있다. 기상 에피택시의 일 예는 금속-유기 화학 기상 증착(Metallo-Organic Chemical Vapor Deposition: MOCVD)이다. 기상 에피택시의 다른 예는 수소화물 기상 에피택시(Hydride Vapor Phase Epitaxy: HVPE)이다. HVPE 접근법의 예들은 "III족 질화물 반도체 물질"(임페리얼 대학 출판사(Imperial College Press.))에 실린 블라디미르 드미트리에프(Bradimir Dmitriev) 및 알렉산더 우시코프(Alexander Usikov)에 의한 "제1장 III족 질화물 물질의 수소화물 기상 에피택시" 및, 모토키(Motoki) 등의 "2 인치 GaN 기판의 준비"(벌크 질화물에 관한 21세기 COE 공동 워크샵 회보. IPAP Conf. Series 4 pp. 32-37)에 개시되어 있으며, 이들은 전체적으로 모든 목적을 위해 본 명세서에 참조에 의해 편입된다.
다양한 유형의 GaN이 시드 층으로서 사용될 수 있다. 예를 들어, 우르츠광(wurtzite) GaN 기반 물질은 극성 및 무극성 형태로 존재한다. 도 1c는 m-평면 (1100)을 나타내는 무극성 GaN을 도시한다. 무극성 형태의 GaN은 상대적으로 비싸다.
또한 도 1c에 도시된 바와 같이, 극성 GaN은 c-평면 (0001)을 나타낸다. 도 1d는 극성 GaN이 N면 및 Ga면을 갖는 것을 특징으로 한다는 것을 보여준다.
특정 실시예는 유전체(dielectric)에 본딩된 극성 GaN 시드 층의 N면과 추가 처리(예를 들어, 에피텍셜 기술에 의한 후막화(thickening))를 위해 노출된 GaN 시드 층의 Ga면을 특징으로 할 수 있다. 이는 Ga면이 전통적으로 N면보다 고품질 GaN의 성장에 더 잘 부합하기 때문이다.
그러나, 다른 실시예들이 가능하다는 것이 강조된다. 예를 들어, 몇몇 애플리케이션(예를 들어, 전력 디바이스들)은 Ga면보다는 N면으로부터의 GaN 물질의 성장을 요구할 수 있다. 다음의 논문들은 모든 목적을 위해 참조에 의하여 본 명세서에 편입된다: ? 리(Xun Li) 등의 "N면 자립형 GaN 기판 상에 성장된 GaN 층의 속성(Properties of GaN layers grown on N-face free-standing GaN substrates)" (Journal of Crystal Growth 413, 81-85 (2015)); A.R.A. Zauner 등의 "GaN 단결정의 N면 상에서의 호모 에피택셜 성장: 표면 형태에 대한 방위차의 영향(Homo-epitaxial growth on the N-face of GaN single crystals: the influence of the misorientation on the surface morphology)" (Journal of Crystal Growth 240, 14-21 (2002)). 따라서, 대안적인 실시예들의 템플릿 블랭크(template blank) 구조는 Ga면이 아닌 N면이 노출된 GaN 층을 특징으로 할 수 있다.
이제, 도 1a에 도시된 것과 같은, 예를 들어, GaN을 포함하는 템플릿 블랭크 구조를 제조하는데 유용할 수 있는 층 이송(layer transfer) 프로세스가 상세히 설명된다. 특히, 특정 실시예들은 전자 디바이스에 이용되는 물질(예를 들어, 광전자 디바이스를 위한 GaN)의 얇은 층을 도너 벌크 물질로부터 기판으로 이송한다.
도 2a는 일 실시예에 의한 프로세스의 단순화된 흐름을 도시한다. 도 2b는 일 실시예에 의한 예시적인 프로세스(200)의 개요를 도시한다.
제1 단계(202)에서, 기판(250)이 제공된다. 상술한 바와 같이, 해당 기판은 사용되는 GaN의 형태와 양립가능한 격자 및/또는 CTE 특성을 가질 수 있다. 가능한 후보 기판 물질은 A1N 및 멀라이트를 포함한다.
제2 단계(204)에서, 벌크 GaN(252)이 제공된다. 벌크 GaN은 극성 또는 무극성 GaN의 결정일 수 있다. 특정 실시예에서, 벌크 GaN(및/또는 기판)은 2" 웨이퍼일 수 있지만, 이들은 어떤 특정 크기 또는 치수로 국한되지 않는다.
제3 단계(206)에서, 기판은 GaN을 수용하도록 준비된다. 이는 산화물 본드(oxide bond) 층(256)의 형성을 포함할 수 있다. 도시되지는 않았지만, 본딩될 벌크 GaN(252)의 표면 또한, 본드 단계(210)와 더 잘 양립하도록 부가되거나 처리된 본드 층을 갖도록 처리될 수 있다.
특정 실시예에서, 이 본드 층은 산화 조건에 노출시킴으로써 형성될 수 있다. 몇몇 실시 예에서, 이 본드 층은 산화물, 예를 들어, 스핀-온-글래스(spin-on-glass: SOG) 또는 다른 스핀 온 물질(예를 들어, 다우 코닝(Dow Corning)으로부터 입수가능한 XR-1541 수소 실세스퀴옥산(hydrogen silsesquioxane) 전자 빔 스핀-온 레지스트) 및/또는 플라즈마 강화 화학 기상 증착(Plasma Enhanced Chemical Vapor Deposition: PECVD)이나 산화물 스퍼터링(oxide sputtering) 기술에 의해 형성된 SiO2와 같은 산화물의 첨가에 의해 형성될 수 있다.
제4 단계(208)에서, 벌크 GaN에 표면 아래 클리빙 영역(260)을 형성하기 위해 입자(예를 들어, 수소 이온)가 주입(258)된다. 몇몇 실시예에서, 이 클리빙 영역은 벌크 물질의 표면 아래 약 10-20 um의 깊이에 놓일 수 있다. 다른 실시예에서, 클리빙 영역은 벌크 물질의 표면 아래 0.05-2 um의 깊이에 놓일 수 있다.
클리빙 영역을 형성하는 것은 타겟 물질, 타겟 물질의 결정 방위(crystal orientation), 주입된 입자(들)의 성질, 주입의 양(dose), 에너지 및 온도, 및 주입의 방향과 같은 요인들에 좌우될 수 있다. 이러한 주입은 이하의 특허출원들과 관련하여 상세하게 기술된 하나 또는 그 이상의 특성을 공유할 수 있고, 이들 모두는 전체적으로 본 명세서에 참조에 의해 편입된다: 미국 특허출원 제12/789,361호; 미국 특허출원 제12/730,113호; 미국 특허출원 제11/935,197호; 미국 특허출원 제11/936,582호; 미국 특허출원 제12/019,886호; 미국 특허출원 제12/244,687호; 미국 특허출원 제11/685,686호; 미국 특허출원 제11/784,524호; 미국 특허출원 제11/852,088호.
특정 실시예에서, 도너의 주입된 표면의 상기 두께의 물질은 MeV 범위에서 비교적 높은 H+ 양성자(proton) 주입 에너지를 사용함으로써 형성된 클리빙 영역을 사용하여 벌크 물질로부터 클리빙된다. 이는 약 10-20 um 사이의 두께를 갖는 분리된 반도체 물질 층을 생성한다. 본딩된 층-이송을 사용하는 다른 실시예에서는, 0.05-1 um의 더 얇은 클리빙된 층이 사용될 수도 있다. 이러한 두께의 클리빙된 GaN 막(film)을 생성하기 위해, 대략 5-180 keV 범위의 더 낮은 H+ 양성자 주입 에너지가 사용될 수 있다. 예를 들어, 40 keV H+ 양성자 에너지는 두께가 약 0.25 um인 클리빙된 GaN 막을 생성할 것이다. 이 주입 단계에서 H2+도 사용될 수 있음을 이해할 수 있다. 이러한 경우, 유효 H+ 에너지는 반으로 줄어드는 반면 선량률(dose rate)은 두 배가 될 것이다. 예를 들어, 80 keV H2+ 주입은 40 keV H+ 주입과 동일한 분리된 층 두께(범위)를 가질 수 있다. 그러나 선량률은 동일한 주입 전류에 대해서 H+ 선량률의 두 배가 될 것이다.
단계(210)에서, 기판의 산화물 함유 표면을 벌크 GaN의 주입된 면과 접촉시킨 다음 가열함으로써 본딩이 수행된다. 터치 폴리싱, 플라즈마 처리 및 본딩 전의 세정과 같은 다른 동작들이 이 시점에 수행될 수도 있다.
단계(212)는 기판으로부터 벌크 GaN을 이탈시키기 위한 다음의 제어된 클리빙 프로세스를 나타낸다. 구체적으로, 에너지의 인가는 도너 벌크 GaN 물질 내의 클리빙 면을 따라 클리빙을 일으킨다. 이러한 클리빙은 클리빙된 얇은 GaN 시드 층(264)을 갖는 원하는 GaN 시드 템플릿(262)를 생성한다.
클리빙은 다양한 형태의 에너지의 인가를 이용하여 일어날 수 있고, 위에서 참조에 의해 편입된 특허출원들 중 어떤 것에 개시된 하나 또는 그 이상의 특성을 나타낼 수 있다. 특정 실시예에서, 이 클리빙은 주입된 벌크 물질이 들어있는 고압 챔버에서 정적 가스(static gas)의 형태로 인가되는 압축력(compressional force)을 이용하여 일어날 수 있다. 특정 실시예에 의한 클리빙을 달성하기 위한 다양한 형태의 에너지의 인가 또한 모든 목적을 위해 본 명세서에 참조에 의해 편입된 미국 특허 제6,013,563호에 기재되어 있다.
아래에서 더 상세히 설명하는 바와 같이, 클리빙 프로세스는 그 자체로 하나 또는 그 이상의 단계를 포함할 수 있다. 예를 들어, 클리빙은 특정 조건 하에서 수행되는 개시(initiation)와, 다음으로 상이한 조건 하에서 수행되는 전파(propagation)를 포함할 수 있다.
도 2a로 돌아가서, 단계(214)는 시드 GaN 층의 표면을 처리하는 것과 같은 추가 단계를 포함한다. 이러한 처리는 노출된 표면의 거칠기를 감소시켜, 도 1b와 관련하여 앞서 논의된 바와 같이 고품질 GaN의 추가에 더욱 적합하게 만들 수 있다. 표면 처리는 열 처리, 화학적 처리 및/또는 플라즈마 처리를 포함할 수 있다.
단계(216)에 있어서, 도 2a는 또한 GaN 시드 층 위에 추가적인 GaN(266)의 성장을 도시한다. 상술한 바와 같이, 이 GaN 성장은 액상 에피택셜 성장 및/또는 기상 에피택셜 성장을 포함할 수 있다.
상기 단계들의 시퀀스는 본 발명의 특정 실시예에 의한 방법을 제공한다. 단계들이 추가되거나, 하나 이상의 단계가 제거되거나, 하나 이상의 단계가 다른 시퀀스로 제공될 수 있는 다른 대안들이 또한 제공될 수 있다. 예를 들어, 다른 실시예에서는, 벌크 GaN 자체가 본딩 물질을 포함할 수 있고, 입자 주입은 해당 본딩 물질의 형성 전 또는 후에 일어날 수 있다.
다양한 실시예들이 GaN 시드 층과 기판이 나중에 서로 분리되는 본드-이탈(bond-and-release) 시스템의 사용을 포함할 수 있음에 유의한다. 상기 본드-이탈 접근법에 대한 추가적인 설명은 2016년 6월 17일자로 출원된 미국 특허출원 제15/186,185호(현재 미국 특허공개 제2016/0372628호로 공개되고 모든 목적을 위해 본 명세서에 참조에 의해 편입됨)에 개시되어 있다.
본 발명의 실시예들에 의한 방법들은 다양한 애플리케이션에서 사용될 수 있다. 예로는 광전자 디바이스, 반도체 디바이스 패키징, 광전지(photovoltaic cell), MEMS 디바이스 등이 포함되나 이에 국한되지는 않는다.
특정 실시예에 의하면, 자립형(free standing) 막은 벌크 물질로부터 분리될 수 있다. 일 실시예에서는, 10 ㎛ 이상의 두께를 갖는 단결정 GaN과 같은 반도체 물질의 자립형 층이 고 에너지 주입을 이용하여 벌크 잉곳으로부터 클리빙될 수 있다. 이러한 방식으로 잉곳을 클리빙하는 것은 종래의 블레이드 절단(blade cutting) 프로세스에서 커프(kerf)로 손실되는 반도체 물질의 양을 실질적으로 감소시킨다. 클리빙 작용의 효율성을 높이는 것 외에도, 이온 선량(ion dose) 및 온도 프로파일과 같은 파라미터를 관리하는 것은 또한 분리된 물질에 대한 방사선 손상(radiation damage)을 제한하고 제어하는데도 중요하다. 그 결과 클리빙된 자립형 막은 조명, 예를 들어, LED 또는 레이저 디바이스에 사용하기에 특히 적합할 수 있다.
본 명세서의 목적상, "자립형 막" 또는 "자립형 층"은 핸들(handle) 또는 이송 기판같은 지지 부재와 접촉하지 않고 그 구조적 완전성을 유지할 수 있는(즉, 허물어지거나 부서지지 않는) 물질의 막으로서 정의된다. 일반적으로, 매우 얇은 막(예를 들어, 약 5-10 ㎛보다 얇은 GaN 막)은 부서짐없이 취급될 수 없다. 통상적으로, 이러한 얇은 막은 지지 구조를 사용하여 조작되는데, 상기 지지 구조는 처음에 상기 얇은 막을 생성하는데도 필요할 수 있다. 보다 두꺼운 막(즉, 10-50 ㎛ 사이의 두께를 갖는 GaN 막)의 취급은 지지체(support)의 사용에 의해 용이해질 수 있지만, 그러한 지지체가 필수적인 것은 아니다. 그래서, 본 발명의 실시예들은 10 ㎛보다 큰 두께를 갖는 자립형 막의 제조와 관련된다. 또한, 하기의 개시의 목적상, "기판" 및 "타일(tile)"이라는 용어는 상호 교환가능하게 사용된다.
본 발명에 의한 실시예들은 자립형 막을 형성하는 것으로 국한되지 않는다. 다른 실시예는 기판에 의해 지지되는 막의 형성을 포함할 수 있다. 또한, 다양한 애플리케이션에서 사용되는 막이 실제로 자립형이던지 혹은 처리 중에 핸들링 기판이나 이송 기판으로 지지되느냐에 관계없이, 가공된 디바이스는 조명 모듈의 필수적인 부분으로서 최종 애플리케이션을 위한 금속 베이스와 같은 기계적 인터페이스에 일반적으로 탑재된다.
또한 이하의 개시의 목적상, "벌크 물질"은 벌크 형태로 존재하는 물질을 가리킨다. 이러한 벌크 물질의 예는 실질적으로 원형인 잉곳 또는 성장된 단결정 GaN의 부울(boule), 또는 실질적으로 원형인 단면 프로파일 이외의 다른 면을 나타내도록 깎여진 성장된 단결정 GaN 잉곳을 포함한다. 벌크 물질의 또 다른 예들이 본 명세서에 기재되어 있다.
특정 실시예에서, 본 발명의 방법은 단일 잉곳(예컨대, GaN 보울) 또는 금속 기반 기판과 같은 적절한 기판 상에 탑재된 소정 두께의 GaN으로부터 다수의 층을 이송하기 위해 연속적으로 적용될 수 있다. 즉, 특정 실시예에 의하면 연속적으로 슬라이스를 클리빙(구운 빵 덩어리로부터 빵 슬라이스를 잘라내는 것과 유사함)하기 위해 상기 방법이 반복될 수 있다. 물론 다른 변형, 수정 및 대안이 있을 수 있다.
상술한 바와 같이, 다양한 표면 처리 프로세스가 하나 또는 그 이상의 단계에서 사용될 수 있다. 특정 실시예에 의하면, 기판으로부터 성장된 물질의 노출된 표면이 폴리싱(polishing)되어 임의의 클리빙 프로세스로 인한 거칠기를 감소시킨다. 폴리싱된 클리빙된 물질과 아래에 놓인 기판의 조합은 추가적인 처리 및 광전자 디바이스로의 통합을 위한 부가 가치(added value) 물질로서 이송될 수 있다.
상기 설명은 폴리싱을 이용한 표면 처리를 언급하고 있지만, 이것이 모든 실시예에서 요구되는 것은 아니고 대안은 다른 표면 처리와 함께 폴리싱을 채택하거나 또는 폴리싱을 포함하지 않는 표면 처리를 채택할 수도 있다. 예를 들어, 몇몇 실시예에 의하면, 표면 처리는 어닐링(annealing)을 포함할 수 있다. 특정 실시예에서, 어닐링은 예를 들어 AlN 또는 SiO2를 포함하는 상부 캡(cap) 층의 존재 하에서 발생할 수 있다. 어닐링은 퍼니스(furnace)(예를 들어, 전형적으로 캡 층이 존재함)에서 수행될 수 있거나, MOCVD 챔버(예를 들어, 캡 층이 존재하지 않음) 내에서 수행될 수 있다.
표면 처리(예를 들어, 폴리싱, 어닐링 및/또는 캡 층 형성을 포함함)는 또한 에칭 프로세스를 포함할 수 있다. 에칭 프로세스의 예는 플라즈마 에칭 및/또는 화학 에칭을 포함할 수 있지만 이에 국한되지는 않는다. 화학 보조 이온빔 에칭(Chemical assisted ion beam etching: CAIBE)은 화학 에칭의 일 예이다. 습식 화학 에칭(Wet chemical etching)은 화학 에칭의 또 다른 예이다.
상기 단계들의 시퀀스는 본 발명의 특정 실시예에 따른 방법을 제공한다. 단계들이 추가되거나, 하나 이상의 단계가 제거되거나, 하나 이상의 단계가 다른 시퀀스로 제공될 수 있는 다른 대안이 또한 제공될 수 있다. 예를 들어, 다른 실시예에서는, 기판 본딩이 클리빙 후에 일어날 수 있고, 이 경우 클리빙에 의해 결국 기판에 본딩되는 자립형 막이 생기게 된다.
도너 벌크 GaN 물질에 특정한 결정 배향은 요구되지 않는다. 따라서, GaN 잉곳을 포함하는 도너는 전형적인 결정성 방위 중 하나, 예를 들어, (0001)을 나타낼 수 있다.
상술한 바와 같이, 층 이송 프로세스에서 이용되는 물질은 구체적으로 그 열 특성을 포함하는 그 특성에 따라 선택될 수 있다. 따라서, 기판 및/또는 층은 프로세스 중에 직면하게 될 것으로 예상되는 조건들과 양립가능한 열팽창 계수(CTE)를 갖는 물질(들)을 포함할 수 있다. 예를 들어, GaN의 선형 열팽창 계수(linear coefficient of thermal expansion)(αGaN)는 약 5.5×10-6K-1이다.
GaN과 CTE 양립성을 갖는 그러한 물질의 예는 특정 유리를 포함할 수 있다. GaN과 CTE 양립성을 갖는 물질의 다른 예는 금속 또는 금속 합금을 포함하지만 이에 국한되지는 않는다. 가능한 적합한 금속은 몰리브덴 또는 텅스텐을 포함할 수 있고, 후보 금속 합금은 구리 몰리브덴 합금 또는 몰리브덴 텅스텐 합금과 같이 몰리브덴을 함유할 수 있다.
실시예들은 지금까지 언급된 특정 물질들로 특별히 제한되지 않는다. 사용될 수 있는 다양한 층의 예는 배리어 층, 열-압착(thermo-compression) 본드 층, 미러(mirror) 층, 및 GaN 버퍼 및 디바이스 성장 층을 포함할 수 있다. 배리어 층은 GaN 디바이스 층 형성 단계(들)와 같은 열 처리 중에 오염, 상호 혼합 및 다른 유해한 영향으로부터 통합된 막을 보호하는 층이다. 이러한 층은 다른 잘 알려진 배리어 층 물질들 중에서 AlN, HfN, TiB2, Pt, Ta를 포함할 수 있다. 다공성(porosity) 및 거칠기와 같은 표면 특성을 향상시키기 위해 캡슐화(encapsulation) 층으로서 작용하는 다른 이러한 층 또한 바람직할 수 있다. 예를 들어, 니켈 층(특정 애플리케이션에 따라 폴리싱되거나 폴리싱되지 않음)이 몰리브덴 합금 기판 상에서 캡슐화 층으로서 작용하여 우수한 본드 수율(yield) 및 균일한 표면 특성을 제공할 수 있다. 다른 코팅 층은 니켈-금 합금, 루테늄(ruthenium), 크롬(chromium) 또는 은을 포함할 수 있지만 이에 국한되지 않는다.
GaN 층(예를 들어, 도 1b)으로부터 디바이스를 성장시키기 위한 후속 단계는 대략 1000 ℃에서 2-3 시간 동안의 GaN의 금속-유기 화학 기상 증착(metal-organic chemical vapor deposition: MOCVD)을 포함할 수 있다. 따라서, 적절한 버퍼 층 및/또는 배리어 층의 사용은 원하는 부분의 열적 건전성(thermal survivability)을 보장할 수 있다.
층들은 가공된 GaN 성장 기판을 형성하고, HB-LED 디바이스 제조자들을 위해 다음의 가능한 특징들 및 잠재적 이점들 중 하나 또는 그 이상을 달성할 수 있다.
하나의 가능한 이점은 격자 부정합(lattice mismatch)의 감소이다. 특히, 이러한 이점은 에피택셜 성장을 위해 얇은 고품질의 GaN 층을 사용함으로써 달성될 수 있다.
또 다른 가능한 이점은 쓰레딩 전위 밀도(threading dislocation density: TDD)/결함의 발생률이 낮다는 것이다. 실시예들에 의하면, 이러한 결함은 얇은 고품질의 GaN 층을 사용해서 자립형 GaN 레벨 또는 그 이하로 감소될 수 있다.
또 다른 가능한 이점은 CTE-부정합(Mismatch)의 감소이다. 에피택셜 성장 온도를 통해 GaN 층과 정합되도록 가공된 CTE-정합 기판의 사용은 CTE 부정합에 의해 유도되는 층 응력(stress), 균열 및 결함을 제거할 수 있다.
또 다른 가능한 이점은 높은 전기 및 열 전도성(conductivity)의 실현이다. 금속 기판 및 열적 그리고 전기적으로 전도성인 내부 층의 사용은 성장 기판이 최종 수직(vertical) LED 구조에서 HB-LED 패키지/조명 기구의 탑재 기판으로서 사용될 수 있게 한다. 높은 열 전도성 및 전기 전도성으로 인해 외부 양자 효율(External Quantum Efficiency: EQE)이 높아지고, 벽 플러그 효율(Wall Plug Efficiency: WPE)이 높아지며, 특정 백엔드(back-end) 제조 단계가 생략될 수도 있다. 제안된 실시예들은 5-30 W/cm2-K를 초과하는 멀티스택(multi-stack) 기판의 원하는 열 전도성을 갖는 수직 LED 접촉 구조를 허용할 수 있고, 멀티스택 기판의 전기 저항(전기 전도도와 관련됨)은 1x10-4 Ohm-cm2보다 작을 수 있다.
실시예들은 향상된 광 효율의 가능한 이점을 제공할 수 있다. 특히, GaN 성장 막 아래에 내부 반사기(reflector)를 집적함으로써, 최종 HB-LED 패키지 내에서 기판을 사용할 수 있게 하고, 미러 층 성장 및 리프트 오프(lift-off)/본드 단계와 같은 백엔드 제조 단계를 추가로 절감할 수 있다. 반사층은 은, 금, 알루미늄과 같은 금속을 포함할 수 있다. 예를 들어, 얇은 은 층을 사용하면 400-500 nm 스펙트럼 범위에서 75%를 초과하는 반사율(reflectivity)을 지원할 수 있고, 이는 높은 외부 양자 효율을 달성함에 있어 바람직한 특성이 될 수 있다.
반사층은 유전체층 스택을 포함할 수 있다. 이러한 유전체층 스택은 전도성(conductivity)과 반사 특성의 균형을 이루는 방식으로 전기 전도성을 가질 수 있다. 바람직한 특성을 나타내는 유전체층 스택을 형성하도록 제어될 수 있는 파라미터의 예는 스택의 층 수, 스택의 층 두께, 스택에 포함 된 특정 물질, 및/또는 도펀트(들)의 존재를 포함할 수 있지만 이에 국한되지 않는다.
또 다른 가능한 이점은 더 작은 크기의 디바이스를 사용할 수 있다는 것이다. 실시예들은 낮은 드룹(droop)을 제공할 수 있고, 따라서 효율적인 디바이스들이 더 높은 전류 밀도(current density)에서 동작될 수 있다. 기판 위에 최대 10X 또는 그 이상의 디바이스를 만들 수 있으므로 에피택셜 및 패키징 비용을 현저히 낮출 수 있다.
실시예들은 또한 GaN 기반 전자 디바이스에 사용될 수 있다. 이러한 실시예에서, 층들은 GaN 디바이스 층 아래의 열적으로 전도성이고, 전기적으로 절연하는 개재(intervening) 층으로 변경될 수 있다. 특정 실시예에서, LED 실시예의 집적된 미러 층은 양호한 GaN 전자 디바이스 동작을 가능하게 할 뿐만 아니라 우수한 열 전달을 가능하게 하는 얇은(예를 들어, 20-50 um) 절연 층으로 대체될 수 있다. 이러한 개재 층은 양호한 열 전도성 및 높은 전기 저항을 갖는 물질일 수 있다. 원하는 성능 및 비용 목표에 따라, AlN(Aluminum Nitride) 및 사파이어(Sapphire)와 같은 물질이 적합할 수 있다.
층 이송 기술의 중요한 애플리케이션 중 하나는 HB-LED 및 레이저 다이오드 디바이스 제조를 위한 자립형 GaN 웨이퍼와 기능적으로 등가인 기판을 제조하는 것이다. 자립형 GaN을 사용할 때 얻을 수 있는 상업적 이점은 (i) 더 우수한 HB-LED 성능(루멘/와트(lumens/watt)로 최대 100% 높음), 및 (ii) 단계적(graded) 버퍼의 제거에 의한 30-50% 적은 에피택셜 성장 챔버 시간에 기인하는 더 적은 에피택셜 디바이스 층 제조 비용을 포함할 수 있지만 이에 국한되지는 않는다. 또한, 보다 효율적인 디바이스는 경쟁적 차별성 뿐만 아니라 패키징에 있어서의 상당한 절감을 제공한다.
현재 디바이스 제조에서 종래의 자립형 GaN 기판의 사용은 비용 및 크기 제한(예를 들어, 현재 2" 및 4" 직경)에 의해 제한된다. 이러한 제한들은 모두 본질적인 것으로 간주되고 수소화물 기상 에피택시(hydride vapor phase epitaxy: HVPE) 또는 암모노열(ammonothermal)(초임계(supercritical) 암모니아/광소(mineralizer) 내의 Ga 또는 GaN) 성장 방법에 의해 GaN 결정을 제조하는 현재의 방법에 강하게 연관되어 있다.
HVPE 및 암모노열 성장 방법은 느리고 비용이 많이 든다. 높은 품질의 GaN은 일반적으로 HVPE를 사용하여 시간당 100-200 마이크론 미만을 필요로 한다. 암모노열 성장은 더 느릴 수 있지만, 결정 품질은 약간 더 나을 수 있다.
GaN 벌크 결정이 종래 방식으로 성장되면, 전위(dislocation)와 같은 결함은 일반적으로 에지 종단(edge termination)에 의해 결정을 벗어나 작용하게 된다. 이것은 결정 직경과 전위 감소율을 강하게 결부시키므로, HVPE 및 암모노열 성장 방법은 일반적으로 고품질의 GaN을 제조하기 위한 작은 결정 직경으로 국한된다. 상업적으로 이용가능한 벌크 또는 자립형 GaN (FS-GaN) 2" 기판에서 달성가능한 결함 수준은 약 104-106 결함/cm2이다.
느린 성장 속도와 이들 기판을 제조하기 위한 와이어톱(wiresaw)의 사용 때문에, FS-GaN 가격은 현재 1,000-2,000 달러(2" 웨이퍼) 및 3,000-5,000 달러(4" 웨이퍼)이다. 이러한 기판의 높은 비용 때문에, 이들의 사용은 청색/UV 레이저 다이오드 제조와 R&D(고-전자 이동도 트랜지스터(High-Electron Mobility Transistor: HEMT) 및 광전자)에 국한된다.
"드룹 효과(droop effect)"를 제거함으로써 HB-LED 디바이스의 성능이 이러한 고품질 기판을 사용하여 100% 향상되는 것으로 나타났지만, 그 비용이 높고 작은 웨이퍼 직경으로 국한되는 점으로 인해 사용할 수 없었다. 성장 매체(medium)로서의 고품질 GaN의 사용은 디바이스 "드룹"(전류가 증가함에 따라 밝기 효율이 떨어짐)과 디바이스 면적을 증가시키는 기생(parasitic) 디바이스 특성을 감소시키거나 제거하여, 더 높은 루멘/와트(lumens/W) 효율을 달성하고 면적 전력 소실(areal power dissipation)를 제어한다. 방출력(emission power)의 테일링오프(tailing off) 또는 드룹은 사파이어 기반 기판 디바이스에 있어서 효율을 약 100-120 루멘/와트(lumens/watt)로 제한하지만, FS-GaN 기판 HB-LED 디바이스는 200 루멘/와트 이상을 산출하는 것으로 나타났다.
이러한 낮은 드룹은 전류 밀도를 증가시키는 능력을 의미하고, 이러한 능력은 실시예들에 의한 고품질의 GaN 물질을 이용하는 기판 상에 제조된 HB-LED 디바이스의 크기를 10배 감소시키게 될 것이다.
따라서, 상술한 바와 같이, 실시예들은 비용, 패키징, 및 신뢰성을 포함하지만 이에 국한되지 않는 다수의 영역에서 HB-LED 산업에 이익이 될 수 있다.
앞서 설명된(예를 들어, 도 2b와 관련하여) 실시예들은 작업물(workpiece) 내 소정 깊이에 클리빙 영역을 형성하기 위해 입자들의 주입을 이용한다. 몇몇 실시예에서는, 추가 물질과 아래에 놓인 작업물 사이의 계면(interface)에서 또는 그 부근에서 클리빙 영역을 형성하도록 계산된 방식(예를 들어, 에너지)으로 가속된 입자들을 겨냥할 수 있다. 이 계면 또는 그 부근의 영역에서 주입된 입자들의 존재는 궁극적으로, 계면 근처에 위치한 클리빙 영역에서 클리빙을 개시 및/또는 전파하는데 필요한 인가 에너지의 양을 감소시킬 수 있다.
상기 설명은 다층 구조를 형성하기 위해 단결정 시드 GaN 층을 포함하는 작업물 상에 추가적인 물질을 형성하는 것에 초점을 맞추었지만, 이것 또한 반드시 요구되는 것은 아니다. 다른 실시예에 의하면, 추가적인 물질이 작업물 상에 존재할 수 있다. 이러한 추가적인 물질의 일 예는 단결정 SiC, (111) 실리콘, 단결정 및 금속 막이며, 상기 물질은 GaN 헤테로 에피택셜 성장을 위한 시드 층으로서 작용할 수 있다.
구체적으로, 도 3a는 (111) 단결정 실리콘을 특징으로 하는 템플릿 작업물의 일 실시예를 단순화하여 나타낸 도면이다. 도 3a에 도시된 다양한 층의 상대적인 두께는 일정한 비율로 도시되어 있지 않다.
도 3a의 템플릿 작업물(300)은 산화물 본딩 층(304)을 갖는 다결정성 AlN 기판(302)을 포함한다. 상기 산화물 본딩 층은 예를 들어 약 200 내지 400 nm 사이의 두께를 가질 수 있다.
산화물 본딩 층(304)에는 단결정 실리콘 층(306)이 부착된다. 이 단결정 실리콘 층은 약 0.1 내지 0.5°의 의도적인 오프-컷 각(off-cut angle)을 가질 수 있는 (111) 결정면 방향(crystal plane orientation)을 갖는다.
단결정 실리콘 층은 약 100-200 nm의 두께를 가질 수 있다. 상기 단결정 실리콘 층은 층 이송 프로세스를 이용(예를 들어, 특정 실시예에서는 본 명세서에서 설명된 것과 같은 제어된 클리빙 프로세스를 이용)하는 고품질의 잉곳으로부터의 분리에 의해 템플릿 기판 상에 형성될 수 있다.
하나의 가능한 실시예에서는, 다음으로 AlN(308)의 얇은 층이 단결정 실리콘 층 위에 형성된다. 이 AlN 층은 MOCVD 에 의해 약 100-200 nm의 두께로 형성된다. 실리콘을 캡핑(capping)하면, 성장될 GaN 시드 층에 대한 전구체(precursor) 층의 역할을 한다. 고품질의 GaN 성장을 촉진시키는 역할을 하는 다른 저온 핵형성(low-temperature nucleation) 층 조성(composition)도 사용될 수 있다. 피닝턴 등(Pinnington et al)의 "유기 금속 화학 기상 증착에 의한 웨이퍼 본딩 사파이어-온-다결정성 AlN 기판 상의 InGaN/GaN 다중-양자 우물 및 LED 성장(InGaN/GaN multi-quantum well and LED growth on wafer-bonded sapphire- on-polycrystalline A1N substrates by metalorganic chemical vapor deposition)" (Journal of Crystal Growth 310 (2008) 2514-2519)이 모든 목적을 위해 본 명세서에 참조에 의해 편입된다.
특히, 도 3a는 AlN 캡핑 층을 덮는 GaN 시드 층(310)을 또한 도시한다. 그 GaN 시드 층 또한 MOCVD 기술을 이용하여 AlN 층(308) 위에 고품질로 성장된다.
작업물에 의해 제공되는 고품질 GaN 층의 표면은 실질적인 두께를 얻기 위해 추가적인 GaN 물질의 성장을 위한 템플릿으로 작용한다. 이는 도 3b에 도시되어 있으며, 여기서 고품질의 GaN 물질(312)은 LPE 및/또는 HVPE와 같은 기술을 이용하여 GaN 시드 층 위에 보다 큰 두께로 성장된다.
특정 실시예에서, LPE에 의해 성장된 추가적인 고품질 GaN 물질은 ~1×106 내지 5×107 cm-2의 결함 밀도(defect density)를 가질 것으로 예상된다. 몇몇 실시예에 의하면, HVPE에 의해 성장된 추가적인 고품질 GaN 물질은 ~1×106 내지 1×107 cm-2의 결함 밀도를 가질 것으로 예상된다.
도 3b의 다층 작업물(314)은 전자 디바이스(예를 들어, HB-LED 및 전력 전자 디바이스)에 통합될 고품질 GaN 층의 분리를 위한 도너로서 작용할 수 있다. 도 3c에 도시된 바와 같이, 이는 연속적인 주입(315) 및 제어된 클리빙(316)에 의해 달성되어 분리된 GaN 층(317)을 생성할 수 있다.
몇몇 실시예에서, 분리된 GaN 층은 자립형일 수 있다. 다른 실시예에서, 분리된 GaN 층은 임시 핸들 기판 또는 영구 타겟 기판에 본딩될 수 있다.
다결정성 AlN 상의 (111) 단결정 실리콘은 위에 성장된 GaN과 CTE에 있어서 양호한 정합을 제공한다는 점에 유의한다. 표 1을 참조하면, 다결정성 AlN 베이스 기판에 의해 지배되는 CTE 정합은 약 0.2 ppm/C가 될 것이다. 이것은 수백 마이크론의 추가적인 GaN을 균열없이 성장시킬 수 있게 한다. 단결정 실리콘은 또한 위에 성장된 GaN과 실현가능한 격자 정합(~17%)을 제공한다.
순 차등(net differential) CTE 부정합을 갖는 베이스 기판 상에 성장된 임계(critical) 두께 hc를 계산하기 위한 일반적인 방법은 좌굴(buckling)에 의해 박막(혹은 얇은 막)(thin-film)을 박리시키기 위한 임계 에너지 방출 속도(energy release rate)를 이용한다. 이러한 방법들은 허치슨 (Hutchinson)과 수오(Suo)의 "적층된 물질들의 혼합 모드 균열(Mixed Mode Cracking in Layered Materials)" (Advances in Applied Mechanics, Vol. 29, pp. 63-187 (1992))에 설명되어 있고, 상기 문헌은 전체적으로 모든 목적을 위해 참조에 의하여 본 명세서에 편입된다.
열적 부정합에 기인한 막 응력(film stress)을 구동(driving) 에너지(σ = E Δα ΔΤ, 여기서 E = 영률(Young's Modulus), Δα = CTE 부정합 및 ΔΤ = 온도 차이)로서 사용하면, 이 구동 에너지를 막 균열/박리의 시작을 특징짓는 임계 두께에 관련시키는 등식은 다음과 같다:
[수학식 1]
G = 0.5(1-υ22h/E
여기서 G는 에너지 방출 속도(release rate), σ는 열적 부정합에 기인하는 막 응력, h는 막 두께, E는 영률이다.
좌굴이 시작될 때, 에너지 방출 속도는 GaN 막에 대한 임계 에너지 방출 속도와 같거나 이를 초과할 것이다. 이 임계 에너지 Gc는 약 2 J/m2이다. 이 조건에 대해서 임계 두께 hc를 풀기 위해 [수학식 1]을 다음과 같이 고쳐쓸 수 있다:
[수학식 2]
hc = 2E Gc/((1-υ22)
GaN에 대해 E = 300 GPa, 상기 물질 파라미터에 대해 υ = 0.38, 및 성장과 상온(room temperature) 간의 온도 차이로서 △T = 1000 ℃를 사용하면, 0.2 ppm/℃ (Δα) CTE 부정합이 60 MPa의 막 응력을 생성하고 AlN 기판 상에 균열없이 최대 약 380 ㎛의 GaN 두께를 허용한다. 이것은 HB-LED, 전력 전자 장치 및 RF(Radio-Frequency) GaN과 같은 애플리케이션을 위한 GaN 디바이스 템플릿을 제조하기 위한 후속 층 이송을 위한 실제 도너 시드 기판으로 간주될 수 있는 충분히 두꺼운 GaN 막이다. 물론, 더 얇은 GaN 막은 또한 이러한 애플리케이션을 위한 템플릿 기판으로서 직접 사용될 수 있다.
그러나, (111) 단결정 실리콘 이외의 물질은 GaN과의 격자 간격이 보다 근접하게 정렬될 수 있다. 이러한 물질의 일 예는 단결정 실리콘 카바이드(SiC)이다.
단결정 SiC는 3C, 4H 및 6H를 포함하는 다양한 형태로 제공될 수 있다. 4H SiC 형태는 GaN과 가까운 격자 정합(~4%)을 제공한다. 물론, 다양한 실시예에 따라서는 3C, 6H 또는 다른 SiC 폴리타입(polytype)도 활용될 수 있다.
도 4는 본딩 층(406)을 통해 아래에 놓인 AlN 기판(404)에 본딩된 4H SiC 층(402)을 특징으로 하는 GaN 시드 작업물(400)의 대안적인 실시예를 단순화하여 나타낸 도면이다. 그 본딩 층은, 예를 들어, 스핀-온-글라스(spin-on-glass)를 포함하지만 이에 국한되지 않는 산화물 본딩 층일 수 있다. 다시, MOCVD AlN 층(408)은 MOCVD GaN 시드 층(410)에 대한 전구체 층으로서 작용할 수 있으며, MOCVD GaN 시드 층(410)은 LPE 및/또는 HVPE 기술을 이용하여 시드 템플릿 작업물 상에서 성장될 수 있는 후막화된(thickened) GaN(412)의 템플릿으로서 작용한다.
여기서, 이 특정 실시예의 AlN 전구체는 선택적일 수 있음에 유의한다. 혹은 다른 저온 핵형성 층(또는 아예 없음)이 층 자체에 따라서 선택될 수 있다.
도 3a의 실시예에서와 같이, 4H 유형의 SiC 층은 벌크 기판으로부터 제어된 클리빙에 의해 형성된다. 여기서, 제어된 클리빙 프로세스는 벌크 SiC 물질에 입자들을 주입한 다음, 약 600-900 ℃의 비교적 고온에 노출시키는 단계를 포함할 수 있다. 4H 유형 SiC에서 클리빙 영역을 형성하기 위한 예시적인 입자 주입 조건은 300 ℃의 주입 온도에서 5-10×1016 H+/cm2이고, SiC의 클리빙 및 이송을 달성하기 위해 180 keV 양성자 에너지, 약 2시간 동안의 800-900 ℃ 어닐링이다. 아마라싱 등(Amarasinghe et al.) 등의 "얇은 결정성 막의 박리와 관련된 H+ 주입된 4H-SiC의 성질(Properties of H+ Implanted 4H-SiC as Related to Exfoliation of Thin Crystalline Films)" (ECS Journal of Solid State Science and Technology, 3 (3) pp. 37-42 (2014))이 모든 목적을 위해 참조에 의하여 본 명세서에 편입된다.
SiC의 클리빙과 연관된 과도하게 높은 열 예산(thermal budget)(본딩된 기판 파손을 유발하는 높은 어닐링 온도 및/또는 비실용적으로 긴 어닐링 시간)에 대한 시드 작업물의 노출을 감소시키기 위해, 본딩 및 클리빙 전에 주입된(4H 또는 다른 폴리타입) SiC 벌크 잉곳에 열 에너지를 가하는 것이 가능할 수 있다. 이러한 추가적인 열적 노출은 SiC 벌크 잉곳과 주입에 의해 형성된 클리빙 영역 위에 놓인 잔류 SiC 물질 사이의 본딩을 약화시키기 위한 어닐링 및/또는 레이저 처리의 형태를 취할 수 있다. 본딩된 열 예산을 낮추는 목적은 본딩된 쌍을 부수지 않고 타겟 기판 상으로 SiC 막의 층 이송을 허용하는 것이다. 주입된 SiC 도너 기판은, 예를 들어, 미국 특허 제6,162,705호 및/또는 미국 특허 제6,013,563호에 설명된 방법들을 사용하여 본딩된 쌍 클리빙 열 예산을 낮추기 위해 열적으로 어닐링될 수 있고, 상기 특허들은 모두 전체로서 모든 목적을 위해 참조에 의하여 본 명세서에 편입된다. 블리스터링(blistering)이 부족한 수준에서의 열 어닐링이 효과적이다. 일 예로서, 블리스터링을 발달시키는데 필요한 것보다 약 25-50 ℃ 낮은 수준까지 온도를 낮추면 본딩 후 어닐링 열 예산을 제한하는데 효과적일 것이다.
도 5는 프로세스의 또 다른 가능한 실시예를 도시한다. 특히, 이 방법은 층 이송된 단결정 사파이어(Al2O3)의 얇은 층을 초기 성장 표면으로서 사용한다.
도 5의 템플릿 작업물(500)은 산화물 본딩 층(504)을 갖는 AlN 기판(502)을 포함한다. 상기 산화물 본딩 층은 예를 들어 약 200-400 nm 사이의 두께를 가질 수 있다.
산화물 본딩 층(504)에 사파이어 층(506)이 부착된다. 이 사파이어 층은 바람직한 격자 정합을 제공하기 위해 c-컷 배향(c-cut orientation)을 가질 수 있다. 그러나, a-컷, m-컷, r-컷 배향 물질을 포함하는 다른 형태의 단결정 사파이어가 알려져 있고 잠재적으로 사용될 수 있다.
사파이어 층은 약 0.1-5 ㎛ 사이의 두께를 가질 수 있다. 이것은 본 명세서에 기술된 바와 같이 제어된 클리빙 프로세스를 이용하여 고품질의 잉곳으로부터 분리함으로써 템플릿 기판 상에 형성될 수 있다.
에피택셜 성장된 AlN의 얇은 층(508)이 단결정 사파이어 층 위에 형성된다. 이 AlN 층은 MOCVD에 의해 약 50-200 nm의 두께로 형성된다. 대안적으로, AlN(예를 들어, 두께가 ~20 내지 80 nm)은 물리적 기상 증착(physical vapor deposition: PVD) 기술에 의해 형성될 수 있다. 사파이어를 캡핑함으로써, AlN 층은 형성될 GaN 시드 층에 대한 전구체 층으로서 작용한다.
특히, 도 5는 AlN 캡핑 층 위에 놓인 GaN 시드 층(510)을 도시한다. 그 GaN 시드 층은 또한 MOCVD 기술을 이용하여 AlN 층(508) 위에 고품질로 형성된다. GaN은 극성 또는 무극성일 수 있고, c-면 또는 다른 유형일 수 있다.
다결정성 AlN(P-AlN)은 GaN과 사파이어의 CTE 차이보다 더 적은 c-면 GaN과의 CTE 부정합을 갖는다는 점에 유의한다. P-AlN의 열 전도도는 사파이어보다 실질적으로 높다. 이는 템플릿 작업물에서 발생하는 온도 구배(thermal gradient)의 크기를 감소시키고 처리 중 온도 균일성을 향상시킨다.
작업물에 의해 제공되는 고품질 GaN 층의 표면은 실질적인 두께를 얻기 위해 추가적인 GaN 물질의 성장을 위한 템플릿으로서 작용한다. 이는 도 5에 도시되어 있고, 여기서 LPE 및/또는 HVPE와 같은 기술을 이용하여 GaN 시드 층 위에 높은 품질의 GaN 물질(512)이 더 큰 두께로 더욱 성장된다.
층 이송된 사파이어 층의 사용의 한 가지 가능한 이점은 사파이어와 그 위에 성장된 GaN 사이에 약간의(~13%) 격자 부정합이 존재하더라도 기판의 CTE 정합은 여전히 두꺼운 GaN 성장에 유리하다는 것이다. 또한, GaN의 성장 표면으로서 사파이어의 사용은, 예를 들어, 위에서 참조에 의해 편입된 피닝턴 등(Pinnington et al.)에 의한 논문에 개시된 것처럼 잘 연구되어 있다.
요약하면, 도 3a 내지 3c, 4 및 5에 도시된 실시예들은 (111) Si, N형 SiC 및/또는 사파이어와 같은 CTE/격자 양립가능 물질을 통합시킴으로써, 고품질 GaN 물질을 포함하는 도너 작업물을 형성할 수 있게 한다. 제어된 클리빙 프로세스는 그러한 CTE/격자 양립가능 물질이 큰 직경(예를 들어, > 2")의 벌크 물질로부터 분리되도록 하여, 위에 놓인 성장된 GaN이 동일한 큰 대응 영역을 나타낼 수 있게 한다. 이 기판들은 HB-LED, 전력 전자 장치 및 RF-GaN과 같은 GaN 기반 디바이스를 제조하는데 활용될 수 있다. 이들은 절연성 또는 전도성 베이스 기판 상에 큰 직경(4" 내지 12") 크기로 경제적으로 제작될 수 있다. 예를 들어, 베이스 기판으로 다결정성 AlN을 사용하면, 그것의 높은 열 전도성과 전기 저항성이 수평형(lateral) 전력 디바이스 구조를 위해 사용될 수 있다. 전기 전도성 몰리브덴 기판(및 전도성 본딩 층)의 사용은 수직형(vertical) 전력 디바이스를 제조하는데 유리할 수 있다. 광 반사 본드 층의 통합은 또한 상부 발광 LED 구조, 및 전도성 베이스 기판과 함께 상부 발광 수직형 LED 구조를 가능하게 할 수 있다.
또한, 작업물 및 추가적인 층 모두를 위한 물질의 선택은 추가적인 층에 의해 경험되는 응력/변형(strain)의 특성을 결정하는 역할을 할 수 있음에 유의한다. 예를 들어, 작업물/추가 층의 선택은 또한 이들 사이의 열팽창 계수의 상대적인 부정합을 결정할 수 있고, 이는 결국 일정한 온도 범위에 걸쳐 추가 층에서 발생하는 응력/변형의 극성 및 크기 모두에 기여할 수 있다. 상기 관점에서, 작업물 및/또는 추가 층 물질은 다양한 처리 단계에 걸쳐 추가 층 내에서 원하는 응력/변형 층을 달성하도록 신중하게 선택될 수 있다.
특정 실시예에서는, 실리콘 다이옥사이드(silicon dioxide) 또는 AlN 층이 스퍼터링(sputtering) 또는 PECVD를 통해 인가될 수 있고, 선택적으로 주입 단계 전에 치밀화(densify) 될 수 있다. 막 또는 막 스택이 인가되면, 선택된 에너지의 주입이 원하는 클리빙 깊이에서 벌크로 침투할 수 있도록 그것의 총 두께가 제한될 수 있다. 물론 다른 변형, 수정 및 대안이 있을 수 있다.
특정 실시예에 의하면, 고 에너지 입자들은 입자 가속기를 사용하여 생성될 수 있다. 여기서, 가속기는 선형 가속기, 플라즈마 침지(plasma immersion) 이온 주입 툴, 이온 샤워 중 어느 하나일 수 있다. 적절한 조건 하에서, 대량 선택(mass-selected) 또는 비대량 선택 주입 기술을 사용할 수 있다.
특정 실시예에서, 입자들은 떼어내야 하는 벌크 물질의 두께(몇몇 실시예에서는 자립형 층)를 정의하기 위해 표면 영역 아래에 제공되는 클리빙 영역 내에 복수의 게터링 사이트(gettering site) 또는 축적 영역을 형성시킨다. 복수의 제1 고 에너지 입자들은 반도체 기판의 소정 깊이 내에 공간적으로 배치된 분포(distribution) 및 피크(peak) 농도를 갖는 주입 프로파일을 제공할 수 있다. 분포는 약 2 ㎛ 이하의 폭을 가질 수 있으며, 주입 농도 프로파일의 이러한 깊이 편차(variation)는 종방향 퍼짐(straggle)이라고 불린다. GaN에서의 2 MeV 수소 주입에 있어서, 주입 깊이는 약 25 ㎛이고, 퍼짐은 약 0.7 ㎛이다.
특정 실시예에서, 클리빙 영역은 직접 또는 간접적으로 제공될 수 있는 제1 온도로 유지된다. 즉, 특정 실시예에 의하면 상기 온도는 대류(convection), 전도, 복사, 또는 이들 기술의 조합에 의해 제공될 수 있다. 또한, 고 에너지 입자 빔은 열 에너지의 일부를 원하는 주입 온도를 달성하기 위해 외부 온도 소스와 조합하여 제공할 수도 있다. 특정 실시예에서는, 고 에너지 입자 빔 만이 주입을 위해 요구되는 전체 열 에너지를 제공할 수도 있다. 즉, 기판 또는 벌크 물질의 온도를 증가시키기 위해 직접적으로 에너지가 열 에너지로 변환되게 하는 고 에너지 입자 빔이 제공될 수 있다. 물론 다른 변형, 수정 및 대안이 있을 수 있다.
애플리케이션에 따라서는, 특정 실시예에 의하면 더 작은 질량 입자들은 일반적으로 물질 내의 원하는 깊이로의 주입에 필요한 에너지를 감소시키고 바람직한 실시예에 의하면 물질 영역의 손상의 가능성을 감소시키도록 선택된다. 즉, 더 작은 질량 입자들은 입자가 통과하는 물질 영역을 실질적으로 손상시키지 않고 기판 물질을 통해 선택된 깊이까지보다 용이하게 이동한다. 예를 들어, 더 작은 질량 입자들(또는 에너지 입자들)은 거의 모든 대전된(예를 들어, 양 또는 음) 및/또는 중성 원자 또는 분자, 또는 전자 등일 수 있다. 특정 실시예에서, 입자들은 수소 및 그 동위 원소(isotope)의 이온 종(species)과 같은 이온, 헬륨 및 그 동위 원소, 및 네온과 같은 희가스(rare gas) 이온, 또는 실시예에 따른 다른 것들을 포함하는 중성 또는 대전 입자들일 수 있다. 입자들은 또한 가스(예컨대, 수소 가스, 수증기, 메탄 및 수소 화합물) 및 기타 경량 원자 질량(light atomic mass) 입자들과 같은 화합물로부터 유도될 수 있다. 대안적으로, 입자들은 상기 입자, 및/또는 이온 및/또는 분자 종 및/또는 원자 종의 임의의 조합일 수 있다. 입자들은 일반적으로 표면 아래의 선택된 깊이까지 표면을 관통하기에 충분한 운동 에너지를 갖는다.
예를 들어, GaN 표면으로 주입된 종으로서 수소를 사용하면, 주입 프로세스는 한 세트의 특정 조건들을 사용하여 수행된다. 수소의 주입 선량(implantation dose) 범위는 약 5×1016 내지 약 5×1017 atoms/cm2이고, 바람직하게는 주입된 수소의 선량은 약 2×1017 atoms/cm2보다 작고, 약 5×1016 atoms/cm2보다 작을 수도 있다. 주입 에너지는 광전자 애플리케이션에 유용한 후막(thick film)의 형성을 위해 약 0.5 MeV 이상이고 약 2MeV까지의 범위이다. 특정의 본딩된 기판 실시예에서, 주입 에너지는 500 keV보다 낮을 수 있고, 예를 들어 5 내지 180 keV일 수 있다. 주입 온도는 약 -50 내지 약 +500 ℃ 범위이고, 약 100-500 ℃일 수 있으며, 주입된 GaN 물질로부터 수소 이온이 확산되어 나갈 가능성을 방지하기 위해 바람직하게는 약 700 ℃ 미만이다. 물론, 사용되는 이온의 유형 및 프로세스 조건은 애플리케이션에 따라 달라질 수 있다.
더 높은 주입 에너지에 대해, 재사용가능한 기판 내에 최대 범위의 클리빙 면을 가능하게 하기 위해 실질적으로 순수한 양성자 주입(예를 들어, 양 또는 음으로 대전됨)을 갖는 것이 특히 유용하다. 일 예로서 GaN을 사용하면, 주입의 에너지 범위는 상당히 커질 수 있고, GaN 디바이스 구조를 제조하기 위해 후속 에피택셜 성장이 요구되는 GaN 전력 전자 장치 애플리케이션 또는 HB-LED용의 템플릿 형성을 위한 수 keV로부터, 커프가 없는(kerfless) 자립형 웨이퍼 출발 물질(starting material)로서 사용하기 위한 두께가 수십 마이크론인 기판을 산출하는 수 MeV까지의 범위일 수 있다. 주입 에너지의 함수로서 일반적인 주입 깊이의 범위는, 예를 들어, SRIM 2013(Stopping Range In Matter) 또는 몬테카를로(Monte Carlo) 시뮬레이션 프로그램(http://www.srim.org/)을 사용하여 계산할 수 있다. 특정 실시예에서, 약 5 keV 내지 약 180 keV의 양성자 주입 에너지 범위를 사용하면 GaN 막 두께는 약 0.05 마이크로미터 내지 약 1 마이크로미터 범위이다. 다른 실시예에서, GaN 막은 약 10 마이크로미터 내지 약 70 마이크로미터의 두께를 갖는 자립형 GaN 층일 수 있다. 물론 다른 변형, 수정 및 대안이 있을 수 있다.
본 명세서에서 "분리된" 또는 "이송된 GaN 두께"라는 용어는 주입된 이온 범위에 의해 형성된 GaN 막 두께가 자립형의 상태로 이탈될 수 있거나 또는 영구(permanent) 기판 또는 임시(temporary) 기판으로 이탈되어 결국 자립형 기판으로서 사용될 수 있거나, 결국 영구 기판 상에 장착될 수 있음을 의미한다. 몇몇 실시예에서, GaN 물질은 충분히 두껍고 지지 부재로서 작용하는 핸들 또는 이송 기판을 갖지 않는다. 물론 막의 취급 및 처리를 위한 프로세스는 구체적인 프로세스 및 애플리케이션에 따라 달라질 것이다.
실시예들은 선택적으로 반도체 기판 또는 벌크 물질에 대해 열처리 프로세스를 수행하여 클리빙 영역 내에 추가로 복수의 게터링 사이트를 형성할 수 있다. 즉, 상기 열처리 프로세스는 복수의 제1 입자들을 제 위치에 고정하기 위해 클리빙 영역을 어닐링 및/또는 급냉(quench)시킨다. 열처리는 후속 주입 또는 입자 축적/확산 프로세스에서 입자들을 게터링 및 축적하기 위한 효율적인 사이트로서 작용할 수 있는 고정된 결함 네트워크를 제공한다.
특별한 이론 또는 메커니즘에 얽매이지 않고, 특정 실시예에서는, 증가된 온도가 영구 결함 네트워크를 촉발시키는 것으로 여겨지며, 또한 복수의 제1 입자들로부터 상당한 양의 수소를 포획할 수도 있다. 실질적으로 영구적인 결함 층은 후속 주입 및/또는 확산 프로세스로부터 입자들의 효율적인 수집 및 포획을 위한 사이트를 제공하는데, 이에 관하여는 본 명세서 전체에 걸쳐 그리고 특히 아래에서 보다 상세히 설명될 것이다.
일 실시예에 의하면, 선택적 열처리는 전도, 대류, 복사 또는 이들 기술의 임의의 조합을 사용하여 일어날 수 있다. 입자 빔은 원하는 주입 온도를 달성하기 위해 열 에너지의 일부를 외부 온도 소스와 조합하여 제공할 수도 있다. 특정 실시예에서는, 입자 빔 만이 주입을 위해 요구되는 전체 열 에너지를 제공할 수 있다. 물론 다른 변형, 수정 및 대안이 있을 수 있다.
특정 실시예는 선형 가속기 또는 PIII 시스템과 같은 다른 가속 이온 주입기를 사용하여 생성될 수 있는 복수의 제2 고 에너지 입자들을 반도체 기판 또는 벌크 물질의 표면 영역에 가하는 단계를 포함할 수 있다. 상기 방법은 반도체 기판 또는 벌크 물질에 제공되는 복수의 제2 고 에너지 입자들을 포함할 수 있다. 제2 입자들은 클리빙 영역으로 도입되고, 이는 클리빙 영역의 응력 레벨을 제1 응력 레벨로부터 복수의 제2 고속 입자들로부터의 제2 응력 레벨로 증가시킨다. 특정 실시예에서, 상기 제2 응력 레벨은 후속 클리빙 프로세스에 적합하다. 특정 실시예에서, 반도체 기판 또는 벌크 물질은 제1 온도보다 높은 제2 온도로 유지된다.
일 예로서, 제2 주입 단계에서 벌크 단결정 GaN 물질에 주입된 종으로서 수소를 사용하면, 주입 프로세스는 특정 세트의 조건들을 사용하여 수행된다. 주입 선량은 약 5×1016 내지 약 5×1017 atoms/cm2 범위이고, 바람직하게는 약 1×1017 atoms/cm2 미만이다. 주입 에너지는 약 5 keV 내지 약 0.5 MeV 범위이며, 더 두꺼운 막의 형성을 위해서는 더 크다. 주입 선량률(dose rate)은 약 500 마이크로암페어 내지 약 100 밀리암페어로 제공될 수 있고 총 선량률은 확장된 빔 영역에 걸쳐 주입 속도를 적분함으로써 계산될 수 있다. 주입 온도는 약 -50 ℃ 내지 약 700 ℃ 범위이고, 바람직하게는 약 500 ℃ 미만이다. 특정 실시예에서, 온도 및 선량은 분자 수소의 효율적인 포획을 허용하도록 선택되는 한편, 단일 원자(mono-atomic) 수소의 일부 확산은 있을 수 있다. 물론, 사용되는 이온의 유형 및 프로세스 조건은 애플리케이션에 따라 달라질 수 있다.
더 높은 주입 에너지에 대해, 재사용가능한 기판 내에서 클리빙 면의 최대 범위를 허용하기 위해 실질적으로 순수한 양성자 주입(예를 들어, 양 또는 음으로 대전됨)을 갖는 것이 유용할 수 있다. 일 예로서 GaN을 사용하면, 주입의 에너지 범위는 커질 수 있고, GaN 디바이스 구조를 제조하기 위해 후속 에피택셜 성장이 요구되는 GaN 전력 전자 장치 애플리케이션 또는 HB-LED용의 템플릿 형성을 위한 수 keV로부터, 커프가 없는(kerfless) 자립형 웨이퍼 출발 물질(starting material)로서 사용하기 위한 두께가 수십 마이크론인 기판을 산출하는 수 MeV까지의 범위일 수 있다. 주입 에너지의 함수로서 일반적인 주입 깊이의 범위는, 예를 들어, SRIM 2013(Stopping Range In Matter) 또는 몬테카를로(Monte Carlo) 시뮬레이션 프로그램(http://www.srim.org/)을 사용하여 계산할 수 있다. 특정 실시예에서, 약 5 keV 내지 약 180 keV의 양성자 주입 에너지 범위를 사용하면 GaN 막 두께는 약 0.05 마이크로미터 내지 약 1 마이크로미터 범위이다. 몇몇 실시예에서, GaN 막은 약 10 마이크로미터 내지 약 70 마이크로미터의 두께를 갖는 자립형 GaN 층일 수 있다. 물론 다른 변형, 수정 및 대안이 있을 수 있다.
효과적으로, 주입된 입자들은 선택된 깊이에서 기판 또는 벌크 물질의 상부 표면에 평행 한 면을 따라 응력을 가하거나 파괴 에너지(fracture energy)를 감소시킨다. 에너지는 부분적으로 주입 종과 조건에 달려있다. 이들 입자들은 선택된 깊이에서 기판 또는 벌크 물질의 파괴 에너지 레벨을 감소시킨다. 이에 의해 선택된 깊이에서 주입된 면을 따라 제어된 클리빙이 가능하다. 주입은 모든 내부 위치에서 기판 또는 벌크 물질의 에너지 상태가 기판 또는 벌크 물질에서 비가역성 파괴(즉, 분리 또는 클리빙)을 개시하기에 불충분한 조건 하에서 일어날 수 있다. 그러나, 주입은 일반적으로 기판 또는 벌크 물질에서 일정량의 결함(예를 들어, 마이크로 결함)을 야기하고, 상기 결함은 후속 열처리, 예를 들어, 열 어닐링 또는 급속 열 어닐링에 의해 적어도 부분적으로 복구될 수 있다는 점에 유의한다.
적절한 빔 세기를 갖는 대량 선택 고 에너지 주입 접근법은 더 두꺼운 클리빙된 벌크 물질을 제조하는데 사용될 수 있다. 비용면에서 효과적이기 위해서는, 주입 빔 전류는 수십 밀리암페어 정도의 H+ 또는 H- 이온 빔 전류이어야 한다. 시스템이 충분히 높은 에너지를 주입할 수 있다면, 더 높은 선량률을 달성하기 위해 H2 + 이온이 또한 유리하게 사용될 수 있다. 본 발명의 실시예들에 유용한 이온 주입 장치는 DYNAMITRON 양성자 가속기(벨기에의 Ion Beam Applications SA로부터 입수가능)와 같은 DC 정전기 입자 가속기의 사용에 의해 최근에 이용가능하게 되었다. 사용될 수 있는 DC 정전기 가속기의 다른 형태는 반데그라프(Van de Graaff) 또는 탠덤(Tandem) 반데그라프 가속기 유형을 포함한다.
본 발명의 실시예들에 따른 사용에 적합한 또 다른 형태의 입자 가속기는 사이클로트론(cyclotron) 또는 RF 선형 가속기(RF Linac)와 같은 무선 주파수(RF) 입자 가속기를 포함할 수 있다. 가능한 입자 가속기 유형의 예는 무선 주파수 사극자 선형 가속기(radio- frequency quadrupole linear accelerator: RFQ-Linac) 또는 드리프트 튜브 선형 가속기(Drift-Tube Linac: DTL), 또는 RF 포커스 상호교차(Radio-Focused Interdigitated: RFI) 기술을 포함한다. 이들은 캘리포니아 주 플레즌튼의 Accsys Technology, Inc., 앨버커키 NM 87109의 Linac Systems, LLC 등과 같은 회사로부터 입수가능하다.
특정 실시예에서, 이 접근법들은 추출된 양성자 빔의 RF 가속을 사용하여 양성자 빔의 총 에너지를 약 20-100 keV의 범위로부터 0.5 내지 7 MeV 또는 그 이상까지 증가시킨다. 출력 빔은 통상적으로 직경이 수 밀리미터 정도이며, 이 애플리케이션에 사용하기 위해서는 타겟 표면에 충돌하는 전력 플럭스가 너무 커지고 타겟 표면을 과열 또는 손상시키는 것을 방지하기 위해 한 면이 수백 밀리미터에서 1 미터 또는 그 이상인 빔 확대(beam expansion)를 사용해야 할 것이다. 이 기술로 얻을 수 있는 양성자 전류는 최대 100 mA 또는 그 이상이 될 수 있다. 특정 예로서, 100 kW의 빔 출력을 가정하면, 3.25 MeV RFQ/RFI-Linac은 약 31 mA의 양성자 빔 전류를 생성할 것이다. 약 1×1017 H/cm2의 선량 및 약 500 mm × 500 mm의 확대된 빔을 사용하면, 목표 주입 선량에서의 시간당 처리된 GaN 면적은 약 0.7 평방미터인 한편, 전력 플럭스는 약 13 Watts/cm2로 유지된다. 이러한 파라미터들의 조합은 이 접근법을 비용 효율적인 HB-LED 기판 물질 생산에 특히 실용적으로 만든다. 물론 다른 변형, 대안 및 수정이 있을 수 있다.
선택적으로, 특정 실시예는 주입 프로세스 후에 열처리 프로세스를 포함할 수 있다. 특정 실시예에 의하면, 상기 방법은 GaN 물질에 대해 섭씨 150도 내지 800도 범위의 열처리를 사용한다. 일 실시예에서, 열처리는 전도, 대류, 복사 또는 이들 기술의 임의의 조합을 사용하여 일어날 수 있다. 고 에너지 입자 빔은 또한 원하는 주입 온도를 달성하기 위해 외부 온도 소스와 조합하여 열 에너지의 일부를 제공할 수도 있다. 특정 실시예에서는, 고 에너지 입자 빔 만이 주입을 위해 요구되는 전체 열 에너지를 제공할 수도 있다. 바람직한 실시예에서, 상기 처리 프로세스는 후속 클리빙 프로세스를 위해 클리빙 영역을 시즈닝(seasoning)하기 위해 일어난다. 물론 다른 변형, 수정 및 대안이 있을 수 있다.
특정 실시예는 클리빙 개시 단계를 포함할 수 있고, 클리빙을 시작하기 위해 클리빙 부분에 약간의 에너지가 인가된다. 아래에서 상세히 설명하는 바와 같이, 이 클리빙 개시는 상이한 특성을 갖는 상이한 유형의 에너지의 인가를 포함할 수 있다.
특정 실시예는 클리빙 프로세스를 사용하여 상기 두께의 분리가능 물질(자립형이거나 그렇지 않음)을 자유롭게 하는 단계를 포함한다. 특정 실시예에서, 자유롭게 하는 단계는 제어된 클리빙 프로세스를 사용하여 수행될 수 있다. 제어된 클리빙 프로세스는 도너 벌크 GaN 물질의 클리빙 영역의 일부분 내에 선택된 에너지를 제공한다. 단지 일 예로서, 제어된 클리빙 프로세스는 캘리포니아주 산호세의 실리콘 제네시스 코포레이션(Silicon Genesis Corporation)에 양도되고 본 명세서에 모든 목적을 위해 참조에 의해 편입된 "제어된 클리빙 프로세스(Controlled Cleaving Process)"라는 명칭의 미국 특허 제6,013,563호에 기재되어 있다. 도시된 바와 같이, 본 발명의 실시예에 의한 방법은 기판 또는 벌크 물질로부터 소정 두께의 물질(자립형일 수 있음)을 자유롭게 하여 상기 두께의 물질을 완전히 제거한다. 물론 다른 변형, 대안 및 수정이 있을 수 있다.
방법은 하나 또는 그 이상의 패턴화된(patterned) 영역을 사용하여 클리빙 동작의 개시를 용이하게 할 수 있다. 특정 실시예에서, 본 방법은 표면 영역 및 두께를 갖는 반도체 기판을 제공한다. 상기 방법은 반도체 기판의 표면 영역에 입자 가속기를 사용하여 생성된 복수의 제1 고 에너지 입자들을 가하여 클리빙 영역 내에 복수의 게터링 사이트의 패턴화된 영역을 형성하는 단계를 포함한다. 바람직한 실시예에서, 클리빙 영역은 분리되어야 할 물질의 두께를 정의하도록 표면 영역 아래에 제공된다. 반도체 기판은 제1 온도로 유지된다. 또한, 상기 방법은 반도체 기판에 처리 프로세스, 예를 들어, 열처리를 적용하는 단계를 포함한다. 상기 방법은 반도체 기판의 표면 영역에, 제1 응력 레벨로부터 제2 응력 레벨로 상기 클리빙 영역의 응력 레벨을 증가시키도록 제공된 복수의 제2 고 에너지 입자들을 가하는 단계를 포함한다. 상기 방법은, 클리빙 프로세스를 이용하여 상기 두께의 분리가능 물질의 일부분을 분리하기 위해 패턴화된 영역의 선택된 영역에서 클리빙 동작을 개시하는 단계 및 클리빙 프로세를 이용하여 상기 두께의 분리가능 물질을 자유롭게 하는 단계를 포함한다.
일 실시예에서, 패턴화된 주입 시퀀스로 인해 표면은 선량 변화를 겪게 되고, 개시 영역은 통상적으로 더 높은 선량 및/또는 열 예산 시퀀스를 사용하여 발달된다. 클리빙 동작을 완료하기 위한 클리빙 동작의 전파는 클리빙 전면(cleave front)을 안내하기 위해 추가적인 선량 투여 영역을 사용하여 일어날 수 있다. 또는 응력 제어를 사용하여 안내되는 깊이를 따라 클리빙 전파가 수행될 수 있다. 클리빙의 전파는 자연적 결정학적(crystallographic) 클리빙 면을 따라 수행될 수 있다. 이들 기술 중 하나 또는 그 이상은 서로 함께 적용될 수 있다. 일부 또는 대부분의 영역은 사용되는 특정 클리빙 기술에 따라서 더 적은 선량으로 주입되거나 전혀 주입되지 않을 수도 있다. 이러한 저 선량 투여 영역은 기판으로부터 각각의 막을 분리하는데 필요한 총 선량을 감소시킴으로써 주입 시스템의 전체 생산성을 향상시키는데 도움을 줄 수 있다.
특정 실시예에서, 방법은 다른 프로세스를 수행할 수 있다. 예를 들어, 이 방법은 나중에 가공되는 지지 부재 상에 상기 두께의 분리된 물질을 배치할 수 있다. 부가적으로 또는 선택적으로, 본 발명의 일 실시예에 의한 방법은 표면 영역에 복수의 제1 고 에너지 입자들을 가하는 단계 전에, 또는 주입 단계(들)와 클리빙 단계 사이에 반도체 기판 또는 벌크 물질에 대해 하나 또는 그 이상의 프로세스를 수행한다. 특정 실시예에 따라서는, 상기 프로세스는 조명 디바이스, 또는 셀 프로세스에서 사용되는 층, 집적 회로, 광학 디바이스, 이들의 임의의 조합 등의 형성을 위한 것일 수 있다. 물론 다른 변형, 수정 및 대안이 있을 수 있다.
상술한 바와 같이, 제어된 클리빙 동작을 사용하여 기판으로부터 얇은 물질 막을 제거하기 위한 향상된 기술이 제공된다. 이 기술은 클리빙 전면(들)의 개시를 허용하고 기판을 통해 전파되도록 하여 기판으로부터 얇은 물질 막을 제거하기 위해서 제어된 에너지(예를 들어, 공간적 분포) 및 선택된 조건의 사용을 통해 단일 또는 다중 클리빙 영역(들)을 사용하여 기판 상에 클리빙 프로세스의 개시를 허용한다.
특정 실시예에서, 제어된 클리빙 프로세스를 사용하여 도너로부터 물질의 막을 형성하는 프로세스가 제공된다. 상기 프로세스는 도너의 표면을 통해 에너지 입자들(예를 들어, 대전된 또는 중성 분자들, 원자들 또는 충분한 운동 에너지를 갖는 전자들)을 표면 아래의 선택된 깊이까지 도입하는 단계를 포함하고, 여기서 상기 입자들은 상대적으로 높은 농도(concentration)이고 상기 선택된 깊이 위에 소정 두께의 도너 물질(예를 들어, 분리가능한 물질의 얇은 막)를 정의한다. 도너 물질을 클리빙하기 위해, 상기 방법은 도너의 선택된 영역에 에너지를 제공하여 도너에서 제어된 클리빙 동작을 개시하고, 상기 클리빙 동작은 전파되는 클리빙 전면(들)을 사용하여 도너의 나머지 부분으로부터 상기 도너 물질을 자유롭게 만든다.
하나의 영역에서 물질을 파괴하기에 충분한 에너지를 상기 물질에 가함으로써, 클리빙이 개시될 수 있고, 통제되지 않은 부스러짐이나 균열없이 클리빙 전면을 야기한다. 클리빙 전면 형성 에너지(E)는 상기 물질을 부스러뜨리거나 갈라지게 하는 것을 피하기 위해 각 영역에서 벌크 물질 파괴 에너지(Etna)보다 낮아야 하는 경우가 많다. 다이아몬드 커팅에서의 방향성(directional) 에너지 임펄스 벡터(impulse vector) 또는 유리 커팅에서의 스크라이브(scribe) 라인은, 예를 들어, 클리빙 전면의 통제된 생성 및 전파를 가능하게 하기 위해 클리빙 에너지가 감소되는 수단이다. 클리빙 전면은 그 자체로 더 높은 응력 영역에 있고 일단 생성되면 그 전파는 파괴의 이 초기 영역으로부터 물질을 더욱 클리빙하기 위해 더 낮은 에너지를 필요로 한다. 클리빙 전면을 전파하는데 필요한 에너지를 클리빙 전면 전파 에너지라고 한다(4). 그 관계는 다음과 같이 표현될 수 있다.
Ec = Ep + [클리빙 전면 응력 에너지]
제어된 클리빙 프로세스는 다른 모든 것들보다 우선된 방향(들)을 따라 Ep를 감소시키고 이용가능한 에너지를 다른 원하지 않는 방향의 Ep 아래로 제한함으로써 실현된다. 임의의 클리빙 프로세스에서, 다수의 클리빙 전면이 작용하더라도, 오직 하나의 확장하는 클리빙 전면을 통해 클리빙 프로세스가 일어날 때 더 우수한 클리빙 표면 마무리가 일어난다.
본 발명을 사용하면 기존의 기술들에 비해 많은 이익이 달성된다. 특히, 본 발명은 통제된 에너지 및 선택된 조건을 사용하여 다중 물질이 샌드위치된 막을 포함하는 도너로부터 물질의 얇은 막을 우선적으로 클리빙한다. 이러한 클리빙 프로세스는 막 또는 기판의 나머지 부분에 대한 손상의 가능성을 방지하면서 기판으로부터 물질의 얇은 막을 선택적으로 제거한다. 따라서, 나머지 기판 부분은 다른 애플리케이션을 위해 반복적으로 재사용될 수 있다.
또한, 본 발명은 박막의 제어된 클리빙 프로세스동안 상대적으로 낮은 온도를 사용하여 다른 실시예에 의한 분리된 막, 도너 또는 다중 물질 막의 온도 편위(excursion)를 감소시킨다. 이러한보다 저온 접근법은 예를 들어, 실질적으로 상이한 열팽창 계수를 갖는 물질들의 클리빙 및 본딩과 같은 더 많은 물질 및 프로세스 관용도(latitude)를 허용한다. 다른 실시예에서, 본 발명은 기판 내의 에너지 또는 응력을, 일반적으로 랜덤한 클리빙 개시 사이트 또는 전면을 생성할 가능성을 제거하는 클리빙 개시 에너지보다 낮은 값으로 제한한다. 이는 기존 기술에서 종종 야기되는 클리빙 손상(예를 들어, 피트(pit), 결정 결함, 파손, 균열, 단차(step), 보이드(void), 과도한 거칠음)을 감소시킨다. 더욱이, 실시예들은 기존 기술과 비교해서 에너지 입자들에 의해 야기되는 필요한 응력 또는 압력 효과 및 핵형성 사이트보다 높은 것에 의해 야기되는 손상을 감소시킬 수 있다.
다양한 기술들이 에너지 입자들을 GaN 물질로 주입하는데 사용될 수 있다. 이러한 기술은, 예를 들어, 어플라이드 머티리얼즈(Applied Materials), 이튼 코포레이션(Eaton Corporation), 베리언(Varian) 등의 회사에서 제조된 빔 라인 이온 주입 장비를 사용하는 이온 주입을 포함한다. 또는, 주입은 플라즈마 침지 이온 주입("PIII") 기술을 사용하여 일어난다. 플라즈마 침지 이온 주입 기술의 예는 폴 케이 츄(Paul K. Chu), 츙 찬(Chung Chan) 및 네이선 정(Nathan W. Cheung)의 "플라즈마 침지 이온 주입의 최근 애플리케이션(Recent Applications of Plasma Immersion Ion Implantation)"(SEMICONDUCTOR INTERNATION, pp. 165-172, 1996 년 6 월), 및 피 케이 츄(P. K. Chu), 에스 친(S. Qin), 씨 찬(C. Chan), 엔 더블유 정(N. W. Cheung)과 엘 에이 라슨(L. A. Larson)의 "플라즈마 침지 이온 주입 -- 반도체 공정을 위한 신생 기술(Plasma Immersion Ion Implantation--A Fledgling Technique for Semiconductor Processing)"(MATERIALS SCIENCE AND ENGINEERING REPORTS: A REVIEW JOURNAL, pp . 207-280, Vol. R17, Nos. 6-7, (1996년 11월 30일)에 기재되어 있으며, 이들은 모두 모든 목적을 위해 참조에 의해 본 명세서에 편입된다. 물론 사용되는 기술은 애플리케이션에 따라 달라질 수 있다.
하나의 PIII 시스템의 기본 구성은 주입될 타겟을 지지하는 타겟 홀더를 이용할 수 있다. 타겟 홀더는 타겟 물질이 타겟 홀더에 열적으로 접촉할 수 있도록 정전기 또는 기계적 클램핑(clamping)을 갖는 고전압 절연 냉각된 척(chuck)을 포함할 수 있다. 이것은 (예를 들어, 엘라스토머(elastomer) 또는 타겟의 후면의 효율적인 접촉을 가능하게 하는 다른 유연한 층을 통한) 전도(conduction) 냉각 또는 (예를 들어, 타겟의 후면과 타겟 홀더 사이의 가스 압력을 발생시킴에 의한) 대류(convection) 냉각에 의해 성취될 수 있다. 때때로, 전도 및 대류 냉각의 조합이 사용될 수 있다. 이러한 플라즈마 담금(soak) 시간 동안, 타겟은 플라즈마에 직접적으로 침지된다.
타겟 홀더와 타겟은 잠시 큰 음의 전압(-V)으로 펄싱될(pulsed) 수 있다. 이 시간 동안, 대전 입자가 없는 외장(sheath)이 타겟 홀더 어셈블리 주위에 전개된다. 전압은 외장의 두께에 걸쳐 강하된다.
주입은 플라즈마/외장 경계면을 가로질러 확산되는 양전하가 V와 동일한 에너지로 가속되고 타겟 홀더 어셈블리의 표면을 타격함으로써 발생한다. 예를 들어, -40 kV의 펄스는 수소 플라즈마 내의 H+ 양성자를 40 keV의 에너지로 가속시킬 수 있다. 이 주입 프로세스는 플라즈마 밀도 및 이온 종과 같은 파라미터에 의해 결정된 속도로 계속된다. 아크(arc)를 방지하기 위해, 고전압이 짧은 기간 동안 인가되고 선택된 반복율(repetition rate)로 반복될 수 있다. 전형적인 PIII 동작 파라미터는 20-1000 Hz 반복 주파수(Fr), 및 수 마이크로 초에서 100 마이크로 초 이상의 펄스 폭(Tp)일 수 있다. 피크 이온 전류(Iion)와 유효 표적 홀더 면적(A)을 알면, 선량률을 다음과 같이 계산할 수 있다.
선량률(ions/cm2) = Iion×Fr×Tp/qA, 여기서, q는 전자 전하(electronic charge)이다.
대전 입자들이 타겟 홀더 어셈블리에 충돌하면, 표면으로부터 2 차 전자가 방출되어 역방향으로 가속된다. 전자 수율(electron yield)(주입된 양전하 입자당 방출되는 전자의 수)을 감마(γ)라고 한다.
전형적인 감마 인자는 2 내지 5이다. 따라서, 순 펄스 전류(net pulse current)는 2차 전자 전류에 의해 좌우된다. 이 전자가 플라즈마 챔버 벽에 부딪혀 감속되기 때문에, 30-40 kV를 초과하는 주입 에너지(V)에서 x-선이 생성된다. 일 예로서, 총 전류 및 주입 전력은 다음과 같을 수 있다.
주입 전류 = Iion×(1+γ)×Fr×Tp
주입 전력 = Iion×(1+γ)×Fr×Tp×V
애플리케이션에 따라서는, 입자 질량이 작으면 물질 영역이 손상될 가능성이 감소될 수 있다. 즉, 더 작은 질량의 입자들은 입자들이 통과하는 물질 영역을 실질적으로 손상시키지 않고 기판 물질을 통해 선택된 깊이까지 쉽게 이동한다. 예를 들어, 더 작은 질량의 입자들(또는 에너지 입자들)은 거의 모든 대전(예를 들어, 양 또는 음) 및/또는 중성 원자 또는 분자, 또는 전자 등일 수 있다. 특정한 실시예에서, 입자들은 수소 및 그 동위 원소의 이온, 헬륨 및 그 동위 원소와 같은 희가스 이온, 및 네온과 같은 이온을 포함하는 중성 및/또는 대전 입자들일 수 있다. 입자들은 또한 가스(예를 들어, 수소 가스, 수증기, 메탄 및 수소 화합물, 및 기타 경량 원자 질량 입자)와 같은 화합물로부터도 유도될 수 있다. 또는, 입자들은 상기 입자 및/또는 이온 및/또는 분자 종 및/또는 원자 종의 임의의 조합일 수 있다. 입자들은 일반적으로 표면 아래의 선택된 깊이까지 표면을 관통하기에 충분한 운동 에너지를 갖는다.
예를 들어, GaN 표면으로 주입된 종으로서 수소를 사용하면, 주입 프로세스는 특정 세트의 조건들을 사용하여 수행될 수 있다. 수소의 주입 선량은 약 5×1016 내지 약 5×1017 atoms/cm2 범위이고, 주입된 수소의 선량은 약 2×1017 atoms/cm2보다 작을 수 있고, 약 5×1016 atoms/cm2보다 작을 수도 있다. 주입 에너지는 약 0.5 MeV 이상이고 광전자 애플리케이션에 유용한 후막의 형성을 위해서는 약 2 MeV까지 커질 수 있다. 소정의 본딩된 기판 실시예에서, 주입 에너지는 500 keV 미만, 예를 들어 5 내지 180 keV일 수 있다. 주입 온도는 섭씨 약 -50 내지 약 +500도 범위이고, 섭씨 약 100-500도 사이일 수 있으며, 주입된 GaN 물질로부터 수소 이온이 확산될 가능성을 방지하기 위해 섭씨 약 700도 미만일 수 있다. 물론, 사용되는 이온의 유형 및 프로세스 조건은 애플리케이션에 따라 달라질 수 있다.
효과적으로, 주입된 입자들은 선택된 깊이에서 기판의 상부 표면에 평행한 평면을 따라 응력을 가하거나 파괴 에너지를 감소시킨다. 에너지는 부분적으로 주입 종과 조건에 달려 있다. 이들 입자들은 선택된 깊이에서 기판의 파괴 에너지 레벨을 감소시킨다. 이것은 선택된 깊이에서 주입된 면을 따라 제어된 클리빙을 가능케 한다. 주입은 모든 내부 위치에서의 기판의 에너지 상태가 기판 물질에서 비가역성 파괴(즉, 분리 또는 클리빙)를 개시하기에 불충분한 조건 하에서 일어날 수 있다. 그러나, 주입은 일반적으로 기판에서 일정량의 결함(예를 들어, 마이크로 결함)을 야기하고, 상기 결함은 후속 열처리, 예를 들어, 열 어닐링 또는 급속 열 어닐링에 의해 적어도 부분적으로 복구될 수 있다는 점에 유의한다.
제어된 클리빙 프로세스는 펄스 에너지를 이용할 수 있다. 펄스 1은 평균 클리빙 에너지(E)를 초과하는 에너지 레벨을 갖고, 이는 클리빙 동작을 개시하는 데 필요한 에너지이다. 펄스 2와 3은 클리빙 동작을 유지하거나 지속하기 위해 클리빙 전면을 따라 더 낮은 에너지 레벨을 사용하여 만들어진다. 특정 실시예에서, 펄스는 레이저 펄스이고, 충돌 빔이 펄스를 통해 기판의 선택된 영역을 가열하고 열 펄스 구배(gradient)가 단일 클리빙 전면을 생성하는 클리빙 형성 또는 전파 에너지를 초과하는 추가 응력을 야기한다. 바람직한 실시예에서, 충돌 빔은 가열하는 동시에 클리빙 에너지 형성 또는 전파 에너지를 초과하는 열 펄스 구배를 일으킨다. 더욱 바람직하게는, 충돌 빔은 냉각되는 동시에 클리빙 에너지 형성 또는 전파 에너지를 초과하는 열 펄스 구배를 일으킨다.
선택적으로, 기판의 내장된 에너지 상태 또는 응력은 클리빙 동작을 개시하는데 필요한 에너지 레벨로 전체적으로 상승될 수 있지만, 본 발명에 의한 기판으로의 다수의 계속적인 임펄스를 가하기 전에 클리빙 동작을 개시하기에 충분하지는 않다. 기판의 전체적인 에너지 상태는 화학적, 기계적, 열적(싱크 또는 소스), 또는 전기적 소스, 단독 소스 또는 이들의 조합과 같은 다양한 소스를 사용하여 높이거나 낮출 수 있다. 화학적 소스는 입자, 유체, 가스 또는 액체와 같은 다양한 소스를 포함할 수 있다. 이러한 소스는 또한 물질 영역에서 응력을 증가시키기 위해 화학 반응을 포함할 수 있다. 화학적 소스는 시간에 따라 변화하는, 공간적으로 변화하는 또는 연속적인 흐름(flood)으로서 도입된다. 다른 실시예에서, 기계적 소스는 회전, 병진, 압축, 팽창 또는 초음파 에너지로부터 유도된다. 기계적 소스는 시간에 따라 변화하는, 공간적으로 변화하는 또는 연속적인 흐름으로서 도입될 수 있다. 다른 실시예에서, 전기적 소스는 인가된 전압 또는 인가된 전자기장으로부터 선택되며, 이는 시간에 따라 변화하는, 공간적으로 변화하는 또는 연속적인 흐름으로서 도입된다. 또 다른 실시예에서, 열적 소스 또는 싱크는 복사, 대류 또는 전도로부터 선택된다. 이 열적 소스는 광자 빔(photon beam), 유체 제트, 액체 제트, 가스 제트, 전기/자기장, 전자 빔, 열전(thermo-electric) 가열, 및 퍼니스(furnace)로부터 선택될 수 있다. 열적 싱크는 유체 제트, 액체 제트, 가스 제트, 극저온(cryogenic) 유체, 과냉각(super-cooled) 액체, 열전 냉각 수단, 전기/자기장 등으로부터 선택될 수 있다. 앞에서 설명한 실시예들과 유사하게, 열적 소스는 시간에 따라 변화하는, 공간적으로 변화하는 또는 연속적인 흐름으로서 적용된다. 또한, 애플리케이션에 따라서는 상기 실시예들 중 임의의 것을 조합하거나 심지어 분리할 수 있다. 물론, 사용되는 소스의 유형도 애플리케이션에 따라 달라질 수 있다. 언급한 바와 같이, 전역적인(global) 소스는 제어된 클리빙 동작을 개시하기 위한 에너지를 공급하기 전에는 물질 영역에서 클리빙 동작을 개시하지 않고 물질 영역에서 에너지 또는 응력의 레벨을 증가시킨다.
특정 실시예에서, 에너지 소스는 기판 클리빙 면의 에너지 레벨을 그 클리빙 전면 전파 에너지보다 높게 상승시키지만, 클리빙 전면의 자가-개시(self-initiation)를 일으키기에는 불충분하다. 특히, 열 또는 열 결핍(즉, 냉각 소스) 형태의 열 에너지 소스 또는 싱크가 기판에 전역적으로 인가되어, 클리빙 전면을 개시하지 않으면서 기판의 에너지 상태 또는 응력 레벨을 증가시킬 수 있다. 또는, 에너지 소스는 전기적, 화학적 또는 기계적일 수 있다. 통제된 에너지 소스는 물질의 얇은 막이 제거될 때까지 기판의 주입된 영역을 통해 자가-전파(self-propagate)하는 클리빙 전면을 개시하기 위해 기판 물질의 선택된 영역으로 에너지의 인가를 제공한다. 클리빙 동작을 개시하기 위해 다양한 기술이 사용될 수 있다. 이러한 기술들은 아래에서 도면들을 이용하여 설명한다.
일 실시예에서, 기판의 에너지 레벨 또는 상태는 전역 에너지 소스를 사용하여 클리빙 전면 전파 에너지 상태보다 높게 상승되지만, 클리빙 전면을 개시하는데 필요한 에너지 상태보다는 낮다. 클리빙 전면을 개시하기 위해, 레이저와 같은 에너지 원이 클리빙 동작을 개시하기 위해 기판의 에지에서 펄스의 형태로 빔을 지향시킨다. 또는, 에너지 소스는 클리빙 동작을 개시하기 위해 기판의 에지에서 펄스의 형태로 냉각 매체를 지향시키는 냉각 유체(예를 들어, 액체, 기체)일 수 있다. 전역 에너지 소스는 일반적으로 개시 에너지보다 낮은 에너지 레벨을 필요로 하는 클리빙 동작을 유지한다.
대안적인 국면은 광 빔 또는 열적 소스를 사용하여, 기판의 전역 에너지 레벨을 클리빙 전면 전파 에너지 상태보다 높지만 클리빙 전면을 개시하는데 필요한 에너지 상태보다는 낮은 레벨로 증가시키기 위해 에너지 소스를 사용한다. 기판은 상면에서 시계 방향으로 회전하는 회전력과 바닥면에서 반시계 방향으로 회전하는 회전력을 받고, 이는 주입된 영역에서 응력을 생성하여 클리빙 전면을 개시한다. 또는, 상면은 반시계 방향 회전력을 받고 바닥면은 시계 방향 회전력을 받는다. 물론, 본 실시예에서 힘의 방향은 일반적으로 중요하지 않다.
제거된 물질 영역은 처리를 위한 GaN 물질의 얇은 막을 제공한다. GaN 물질은 에피택셜 성장 기판에서의 사용을 위해 제한된 표면 거칠기 및 바람직한 평탄도(planarity) 특성을 갖는다. 특정 실시예에서, 분리된 막의 표면 거칠기는 약 60 nm 미만, 또는 약 40 nm 미만, 또는 약 20 nm 미만인 특징을 갖는다. 따라서, 본 발명의 실시예들은 기존의 기술보다 더 평활하고 균일할 수 있는 GaN 박막을 제공 할 수 있다.
특정 실시예에서, 에너지 소스는 본 발명의 실시 예에 의한 가압된(예를 들어, 압축) 유체 제트일 수 있다. 일 실시예에서, 에너지 소스는, 예컨대 정적인(static) 압축된 유체와 같은 압축 소스일 수 있다. 선택적으로, 핀(pin) 또는 블레이드로부터와 같은 기계적 힘이 주입된 영역의 에지에 인가되어 클리빙 프로세스를 개시할 수 있고, 이는 전형적으로 챔버와 주변 사이에 요구되는 최대 압력차(pressure differential)를 감소시킨다.
실시예들은 기존의 기술에 의해 사용되는 온도보다 낮은 온도에서 실행될 수 있다. 특히, 실시예들은 기존 기술과 같이 클리빙 동작을 개시하고 유지하기 위해 전체 기판 온도를 증가시킬 필요가 없다. GaN 웨이퍼 및 수소 주입에 관한 몇몇 실시예에서는, 기판 온도가 클리빙 프로세스 중에 약 500 ℃를 초과하지 않는다. 또는, 클리빙 프로세스 중에 기판 온도가 약 400 ℃를 초과하지 않는다. 또는, 기판 온도가 열적 싱크, 예컨대, 냉각 유체, 극저온 유체(cryogenic fluid)를 통해 실질적으로 주입 온도 이하로 유지된다. 따라서, 본 발명의 실시예들은 랜덤한 클리빙 전면으로부터의 에너지의 과도한 방출로 인한 불필요한 손상의 가능성을 감소시킬 수있고, 이는 일반적으로 분리된 막(들) 및/또는 기판(들)의 표면 품질을 개선시킨다. 따라서, 본 발명의 실시예들은 더 높은 전체 수율 및 품질로 기판 상에 결과적인 막을 제공할 수 있다.
기판은 제어된 클리빙 프로세스 전에 보강재(stiffener) 등의 작업물 상에 배치될 수 있다. 작업물은 기판의 상면 또는 주입된 표면에 결합(join)되어 제어된 클리빙 프로세스 중에 물질의 엷은 막에 구조적 지지를 제공한다. 상기 작업물은 다양한 본딩 또는 결합 기술, 예를 들면, 정전기(electro-statics), 접착제, 원자 상호간(interatomic), 열 압착(thermo-compression)을 사용하여 기판에 결합될 수 있다. 이러한 본딩 기술 중 일부는 본 명세서에 설명되어 있다. 작업물은 유전체 물질(예를 들어, 석영, 유리, 사파이어, 실리콘 질화물, 실리콘 다이옥사이드), 전도성 물질(실리콘, 실리콘 카바이드, 폴리실리콘, III/V족 물질, 금속) 및 플라스틱(예를 들어, 폴리이미드 기반 물질)으로 이루어질 수 있다. 물론, 애플리케이션에 따라 사용되는 작업물의 유형이 달라질 것이다.
대안적으로, 분리되어야 할 막을 갖는 기판은 제어된 클리빙 프로세스 전에 보강재 등과 같은 이송 기판 상에 일시적으로 배치될 수 있다. 이송 기판은 제어된 클리빙 프로세스 중에 얇은 물질 막에 구조적 지지를 제공하기 위해 상기 막을 갖는 기판의 상면 또는 주입된 표면에 결합된다. 이송 기판은, 예를 들어, 정전기, 접착제, 원자 상호간과 같은 다양한 본딩 또는 결합 기술을 사용하여 상기 막을 갖는 기판에 일시적으로 결합될 수 있다. 이러한 본딩 기술 중 일부는 본 명세서에 설명되어 있다.
이송 기판은 유전체 물질(예를 들어, 석영, 유리, 사파이어, 실리콘 질화물, 실리콘 다이옥사이드), 전도성 물질(실리콘, 실리콘 카바이드, 폴리실리콘, III/V족 물질, 금속), 및 플라스틱(예를 들어, 폴리이미드 기반 물질)으로 이루어질 수 있다. 물론, 애플리케이션에 따라서 사용되는 이송 기판의 유형이 달라질 수 있다. 또한, 이송 기판은 제어된 클리빙 프로세스 후에 클리빙된 기판으로부터 물질의 얇은 막을 제거하는데 사용될 수 있다.
특정 실시예에 의한 GaN HB-LED 성장 기판을 제조하기 위한 GaN HB-LED 성장 기판 프로세스는 다음과 같이 간략하게 설명될 수 있다:
(1) 도너 GaN 벌크 물질(금속 또는 금속-합금 기판 상에 본딩된 GaN 층을 포함하고 선택적으로 추가의 물질 층으로 코팅된 다중-스택 웨이퍼일 수 있음)을 제공한다;
(2) 도너 GaN 벌크 물질에 선택된 깊이로 입자들을 도입하여 GaN 막의 두께를 정의한다;
(3) 타겟 기판 물질(얇은 물질 막(들)으로 코팅될 수 있음)을 제공한다;
(4) 주입된 면을 타겟 기판 물질에 결합시킴으로써, 도너 GaN 벌크 물질을 타겟 기판 물질에 본딩한다;
(5) 클리빙 동작을 개시함이 없이 선택된 깊이에서 주입된 영역의 전역적인 응력(또는 에너지)를 증가시킨다(선택적);
(6) 선택된 깊이에서 제어된 클리빙 동작을 개시하기 위해 본딩된 기판의 선택된 영역에 응력(또는 에너지)을 제공한다;
(7) 본딩된 기판에 추가적인 에너지를 제공하여 도너 GaN 벌크 물질로부터 상기 두께의 GaN 막을 자유롭게 하기 위해 제어된 클리빙 동작을 유지한다(선택적);
(8) 타겟 기판에 도너 GaN 벌크 물질을 완전히 본딩한다;
(9) 상기 두께의 GaN 막의 표면을 폴리싱한다.
상기 단계들의 시퀀스는 본 발명에 의한 클리빙 전면(들)을 형성하기 위해 다층 기판 구조의 선택된 영역(들)에 인가된 에너지를 사용하여 제어된 클리빙 동작을 개시하는 단계를 제공한다. 이러한 개시 단계는 기판에 인가되는 에너지의 양을 제한함으로써 제어된 방식으로 클리빙 프로세스를 시작한다. 클리빙 동작의 추가 전파는 클리빙 동작을 유지하기 위해 벌크 물질의 선택된 영역에 추가적인 에너지를 제공하거나 클리빙 동작의 추가 전파를 위해 개시 단계로부터의 에너지를 사용함으로써 일어날 수 있다. 이러한 단계들의 시퀀스는 단지 예일 뿐이고 본 명세서에 정의된 청구범위를 제한하지 않아야 한다. 상기 단계들의 시퀀스에 관한 추가 세부 사항은 아래에 설명된다.
고품질의 GaN 성장 표면을 갖는 사파이어 작업물 또는 타겟 기판을 포함하는 대체 GaN HB-LED 성장 기판이 바람직하다면, 절연 층(들)을 사용하는 변형된 본드 프로세스가 선택될 수 있다. 특정 실시예에서, GaN 표면과 사파이어 타겟 기판 모두는 실리콘 다이옥사이드 막 및/또는 알루미늄 질화물 막과 같은 본드 촉진(promoting) 층으로 코팅될 수 있다. GaN 도너의 경우, 이 산화막은 원하는 주입 깊이를 허용하기 위해 제한된 두께일 수 있다. 예를 들어, 50 nm 막은 GaN 클리빙 층을 250 nm에서 200 nm로 낮출 것이다. 사파이어 기판 상의 산화물 막 두께는 양호한 본드 수율 및 디바이스 성능을 허용하는 넓은 범위의 값으로부터 선택될 수 있다. 사파이어 작업물을 위한 전형적인 산화물 막 두께는 75-200 nm이다. 하나 또는 그 이상의 막이 RF 또는 반응성(reactive) 스퍼터링 프로세스 또는 플라즈마 강화(plasma-enhanced) CVD(PECVD) 프로세스를 사용하여 도포될 수 있다. 몇몇 실시예에서는, 절연 막이 스핀 온(spin on) 될 수 있다.
증착 후, 저온 막은 우수한 본딩가능성을 촉진하도록 처리될 수 있다. 통상적인 처리 조건은 조밀화 어닐링(densification anneal) 및 조도(asperity) 및 표면 오염물을 제거하는 세정/에칭 화학 물질 배스(chemical bath)를 포함할 수 있다.
조밀화 어닐링은 급속 열처리를 사용하여 700-1000 ℃ 불활성 환경(inert environment)에서 전형적으로 1-10분 동안 또는 퍼니스에서 30-60분 동안 수행된다. 최 등(Choi et al.)의 논문 "급속 열 어닐링에 의한 무선 주파수 스퍼터링된 실리콘 산화물 막의 조밀화(Densification of Radio Frequency Sputtered Silicon Oxide Films by Rapid Thermal Annealing)"(Journal of Applied Physics, Vol. 83, No. 4 (1998년 2월))는 모든 목적을 위해 참조에 의해 본 명세서에 편입된다. GaN 상의 산화물 막은 또한 GaN 분해를 제한하는 캡핑(capping) 층으로서 작용할 수 있다.
조밀화 어닐링은 전형적으로 고온에서 행해지기 때문에, 수소 클리빙 면이 확산되고 효력이 없어지는 것을 방지할 수 있도록 주입 단계가 어닐링 후에 수행될 수도 있다.
사파이어 기판은 대체 사파이어 기반 성장 템플릿이 원하는 사양을 충족시킬 수 있도록 다양한 종류일 수 있다. 이 기판 내에 통합될 수 있는 구조 및 옵션의 일부는 다음과 같다.
1. 저비용을 위한 단면(single-side) 폴리싱 사파이어
2. 사파이어 성장 기판으로부터 LED 층이 제거되는 레이저 리프트-오프 백-엔드(lift-off back-end) LED 제조를 가능하게 하는 양면(double-side) 폴리싱 사파이어
3. 패터닝된 사파이어 기판(Patterned Sapphire Substrate: PSS)과 유사한 광 추출(light extraction) 방법을 가능하게 하는 사파이어 기판 상의 집적된 패턴. 본딩을 가능하게 하기 위해서는 막 증착 및 평탄화 단계가 요구될 수도 있다. 충진 물질(filler material)의 굴절률은 산란 효과가 효율적으로 일어나도록 선택될 수 있다. 알루미늄 질화물 및 실리콘 다이옥사이드가 사용될 수 있다.
4. 미러 층으로서 작용할 반사체(reflector) 층을 평면 또는 PSS 사파이어 표면 상에 통합. 평탄화 및 본딩으로 인해 표면이 노출된 금속을 갖게 된다면 전기 전도도 또한 달성될 수 있다.
선택된 에너지 입자들은 도너 GaN 물질의 상면을 통해 선택된 깊이로 주입되고, 이는 얇은 물질 막으로 불리는 물질 영역의 두께를 정의한다. 도시된 바와 같이, 입자들은 선택된 깊이(z0)에서 원하는 농도를 갖는다. 에너지 입자들을 도너 GaN 물질에 주입하기 위해 다양한 기술이사용될 수 있다. 이러한 기술은, 예를 들어, 어플라이드 머티리얼즈(Applied Materials), 이튼 코포레이션(Eaton Corporation), 베리언(Varian) 등의 회사에서 제조된 빔 라인 이온 주입 장비를 사용하는 이온 주입을 포함한다. 또는, 주입은 플라즈마 침지 이온 주입("PIII") 기술을 사용하여 일어난다. 물론, 애플리케이션에 따라 사용되는 기술이 달라질 수 있다.
애플리케이션에 따라서는, 물질 영역의 손상 가능성을 줄이기 위해 일반적으로 더 작은 질량의 입자들이 선택된다. 즉, 더 작은 질량의 입자들은 해당 입자들이 통과하는 물질 영역을 실질적으로 손상시키지 않으면서 기판 물질을 통해 선택된 깊이까지 쉽게 이동한다. 예를 들어, 더 작은 질량의 입자들(또는 에너지 입자들)은 거의 모든 대전된(예를 들어, 양 또는 음) 및/또는 중성 원자 또는 분자, 또는 전자 등일 수 있다. 특정 실시예에서, 입자는 수소 및 그 동위 원소의 이온, 헬륨 및 그 동위 원소와 같은 희가스 이온, 및 네온을 포함하는 중성 및/또는 대전 입자일 수 있다. 입자들은 또한 가스, 예컨대, 수소 가스, 수증기, 메탄, 및 기타 수소 화합물 및 기타 경량 원자 질량 입자와 같은 화합물로부터 유도될 수 있다. 대안적으로, 입자들은 상기 입자 및/또는 이온 및/또는 분자 종 및/또는 원자 종의 임의의 조합일 수 있다.
특정 실시예에 의한 프로세스는 주입된 도너 GaN 물질을 작업물 또는 타겟 기판에 결합시키는 단계를 사용한다. 상기 작업물은 또한 유전체 물질(예를 들어, 석영, 유리, 사파이어, 실리콘 질화물, 실리콘 다이옥사이드, 알루미늄 질화물), 전도성 물질(실리콘, 폴리실리콘, III/V족 물질, 금속) 및 플라스틱(예를 들어, 폴리이미드 기반 물질)으로 이루어진 것들과 같은 다양한 유형의 기판일 수 있다. 그러나, 본 예에서는, 상기 작업물이 CTE-정합된 금속 웨이퍼이다.
특정 실시예에서, GaN 및 타겟 기판은 저온 열 단계를 사용하여 함께 결합되거나 융합(fuse)된다. 저온 열처리 프로세스는 일반적으로 주입된 입자들이 물질 영역에 제어되지 않은 클리빙 동작을 일으킬 수 있는 과도한 응력을 주지 않게 한다. 일 국면에서, 저온 본딩 프로세스는 자가 본딩(self-bonding) 프로세스에 의해 일어난다. 구체적으로, 하나의 웨이퍼가 그로부터 산화를 제거하기 위해 벗겨진다(혹은 하나의 웨이퍼가 산화되지 않는다). 세정액은 상기 웨이퍼의 표면을 처리하여 웨이퍼 표면에 O--H 본드를 형성한다. 상기 웨이퍼를 세정하는데 사용되는 용액의 일 예는 H2O2--H2SO4의 혼합물이다. 건조기는 웨이퍼 표면을 건조시켜 웨이퍼 표면으로부터 임의의 잔류 액체 또는 입자를 제거한다. 자가 본딩은 세정된 상기 웨이퍼의 면을 산화된 웨이퍼의 면에 댐으로써 발생한다.
대안적으로, 자가 본딩 프로세스는 플라즈마 세정에 의해 본딩될 웨이퍼 표면들 중 하나를 활성화시킴으로써 일어난다. 구체적으로, 플라즈마 세정은 아르곤, 암모니아, 네온, 수증기, 질소 및 산소와 같은 가스로부터 유도된 플라즈마를 사용하여 웨이퍼 표면을 활성화시킨다. 활성화된 웨이퍼 표면은 그 위에 산화 피막(coat)을 갖는 다른 웨이퍼의 면에 배치된다. 상기 웨이퍼들은 노출된 웨이퍼 면들을 갖는 샌드위치 구조로 되어 있다. 하나의 웨이퍼를 다른 웨이퍼에 자가 본딩하기 위해 웨이퍼들의 각 노출면에 선택된 양의 압력이 가해진다.
대안적으로, 웨이퍼 표면 상에 배치된 접착제가 하나의 웨이퍼를 다른 웨이퍼 상에 본딩시키기 위해 사용된다. 접착제는 에폭시, 폴리이미드계 물질 등을 포함한다. 스핀-온-글라스(spin-on-glass) 층은 하나의 웨이퍼 표면을 다른 웨이퍼 면에 본딩시키는데 사용될 수 있다. 이러한 스핀-온-글라스("SOG") 물질은 실록산(siloxanes) 또는 실리케이트(silicates)를 포함하며, 이들은 종종 알콜계 용매 또는 이와 유사한 것과 혼합된다. SOG는 SOG가 웨이퍼의 표면에 도포된 후 SOG를 경화(cure)시키는데 종종 필요한 저온(예를 들어, 150 내지 250 ℃) 때문에 바람직한 물질일 수 있다.
대안적으로, 다양한 다른 저온 기술이 도너 GaN 물질을 타겟 기판에 결합시키는데 사용될 수 있다. 예를 들어, 정전기 본딩 기술을 사용하여 이들을 결합시킬 수 있다. 구체적으로, 하나 또는 두 개의 웨이퍼 표면(들)은 다른 웨이퍼 표면에 끌리도록 대전된다. 또한, 도너 GaN 물질은 열 압착 본딩과 같은 통상적으로 알려진 다양한 기술을 사용하여 타겟 기판에 융합될 수 있다. 물론 사용되는 기술은 애플리케이션에 따라 달라질 수 있다.
샌드위치 구조로 웨이퍼들을 본딩한 후에, 상기 방법은 기판 물질을 제거하는 제어된 클리빙 동작을 포함하여, 타겟 기판 상의 계면 층(들)을 덮는 기판 물질의 얇은 막을 제공한다. 제어된 클리빙은 선택적인 에너지 배치에 의해 또는 에너지 소스를 도너 및/또는 타겟 웨이퍼 상에 위치시키거나 타겟팅함으로써 일어난다. 예를 들어, 에너지 임펄스(들)는 클리빙 동작을 개시하는데 사용될 수 있다. 임펄스(또는 임펄스들)는 기계적 소스, 화학적 소스, 열적 싱크 또는 소스, 및 전기적 소스를 포함하는 에너지 소스를 사용하여 제공된다.
제어된 클리빙 동작은 이전에 언급된 기술 및 다른 방법을 통해 개시된다. 예를 들어, 제어된 클리빙 동작을 개시하는 프로세스는 기판의 선택된 영역에 에너지를 제공하는 단계를 사용하여 기판 내의 선택된 깊이(z0)에서 제어된 클리빙 동작을 개시하고, 그 다음 클리빙 동작은 기판으로부터 제거되어야 할 기판 물질의 일부를 자유롭게 하기 위해 전파되는 클리빙 전면을 사용하여 이루어진다. 특정 실시예에서, 상기 방법은 위에서 언급한 바와 같이, 단일 임펄스를 사용하여 클리빙 동작을 시작한다. 대안적으로, 상기 방법은 개시 임펄스를 사용하고, 다음으로 기판의 선택된 영역에 다른 임펄스 또는 연속적인 임펄스들이 가해진다. 대안적으로, 상기 방법은 클리빙 동작을 개시하는 임펄스를 제공하고, 상기 클리빙 동작은 기판을 따라 주사되는(scanned) 에너지에 의해 유지된다. 대안적으로, 에너지는 제어된 클리빙 동작을 개시 및/또는 유지하기 위해 기판의 선택된 영역을 가로 질러 주사될 수 있다.
선택적으로, 기판 물질의 에너지 또는 응력은, 클리빙 동작을 개시하는데 필요한 에너지 레벨을 향해 증가되지만, 본 발명에 의하면 기판에 임펄스 또는 다수의 연속적인 임펄스들을 가하기 전에는 클리빙 동작을 개시하기에 충분하지 않다. 기판의 전역적 에너지 상태는 화학적, 기계적, 열적(싱크 또는 소스), 또는 전기적 소스, 단독 소스 또는 이들의 조합과 같은 다양한 소스를 사용하여 높이거나 낮출 수 있다. 화학적 소스는 입자, 유체, 가스 또는 액체를 포함할 수 있다. 이러한 소스는 또한 물질 영역 내에서 응력을 증가시키기 위한 화학 반응을 포함할 수 있다. 화학적 소스는 시간에 따라 변화하는, 공간적으로 변화하는, 또는 연속적인 흐름으로서 도입된다. 다른 실시예에서, 기계적 소스는 회전, 병진, 압축, 팽창, 또는 초음파 에너지로부터 유도된다. 기계적 소스는 시간에 따라 변화하는, 공간적으로 변화하는, 또는 연속적인 흐름으로서 도입될 수 있다. 다른 실시예에서, 전기적 소스는 인가 전압 또는 인가된 전자기장으로부터 선택되며, 이는 시간에 따라 변화하는, 공간적으로 변화하는, 또는 연속적인 흐름으로서 도입된다. 또 다른 실시예에서, 열적 소스 또는 싱크는 복사, 대류 또는 전도로부터 선택된다. 이 열적 소스는 광자 빔, 유체 제트, 액체 제트, 가스 제트, 전기/자기장, 전자 빔, 열전(thermo-electric) 가열, 및 퍼니스로부터 선택될 수 있다. 열적 싱크는 유체 제트, 액체 제트, 가스 제트, 극저온 유체, 과냉각 액체, 열전 냉각 수단, 전기/자기장 등으로부터 선택될 수 있다. 상술한 실시예들과 유사하게, 열적 소스는 시간에 따라 변화하는, 공간적으로 변화하는, 또는 연속적인 흐름으로서 인가된다. 또한, 애플리케이션에 따라서는 상기 실시예들 중 임의의 것을 조합하거나 심지어 분리할 수 있다. 물론 사용되는 소스의 유형은 애플리케이션에 따라 달라질 수 있다. 언급된 바와 같이, 전역적 소스는 통제된 클리빙 동작을 개시하기 위해 에너지를 제공하기 전에는 물질 영역에서 클리빙 동작을 개시함이 없이 물질 영역에서 에너지 또는 응력의 레벨을 증가시킨다.
일 실시예에서, 상기 방법은 기판으로 입자들을 도입하는 온도보다 낮은 온도를 유지한다. 몇몇 실시예에서는, 에너지를 도입하여 클리빙 동작의 전파를 개시하는 단계 동안 기판 온도가 -200 내지 450 ℃로 유지된다. 기판 온도는 또한 400 ℃ 이하의 온도에서 유지될 수 있다. 특정 실시예에서, 상기 방법은 전역적인 열적 소스 및 기계적 소스를 사용하여 클리빙 동작을 개시하고 유지한다.
몇몇 실시예에 의하면 최종 본딩 단계는 타겟 기판과 물질 영역의 얇은 막 사이에서 일어난다. 일 실시예에서는, 하나의 금속 웨이퍼가 구리의 본드 층을 포함하는 전도성 금속 물질의 상부 층들을 갖고, 상기 본드 층은 얇은 물질 막을 클리빙하기 전에 면 위에 놓이도록 증착된다. 구리 층은 또한 다양한 다른 기술, 예를 들어, 스퍼터링을 사용하여 형성될 수 있다. 웨이퍼 표면들 사이의 구리 층은 열 압착 본딩을 사용하여 함께 융합된다. 구리-구리 본딩의 전형적인 열 압착 프로세스는 (i) 100-400 ℃의 온도, (ii) 0.5-1 MPa의 압력 및 (iii) 정확한 조건에 따라 수분에서 수 시간의 프로세스 시간이다.
특정 조건 하에서는, 도너와 타겟 기판 사이의 구리 두께 비율을 50/50으로부터, 클리빙 개시를 용이하게 하기 위해 클리빙 면에 가능한 가까워지도록 본드 층을 이동시키기 위해서 도너 구리 두께가 최소화되는 값으로 변경하는 것이 유리할 수 있다. 예를 들어, 1 um/1 um 구리 열 압착 본드 층이 0.25 um/2 um(도너 Cu 두께/타겟 기판 Cu 두께)가 되도록 재설계되어, 본드 계면을 도너 물질 표면으로부터 약 0.25 um까지 이동시킬 수 있다. Ansys 시뮬레이션을 사용하면, 개시 모멘트-에너지 커플링이 클리빙 전파(본드 계면이 클리빙 계면과 일치) 중에 기준이 되는 구성의 25% 내지 31%로 개선되었다.
특정 실시예에 의하면 개시 에너지를 감소시키는 또 다른 방법은 본딩 전에 개시 영역과 일치하는 도너 벌크 물질의 제한된 에지 영역을 제거 또는 "트렌칭(trenching)"하는 단계를 포함한다. 이는 클리빙 면에서의 에지 개시 에너지를 2-3배 증가시킬 수 있다. 이러한 결과와 개선은 Ansys 유한 분석 시뮬레이션을 사용하여 확인되었다.
GaN 물질의 막의 분리된 표면은 거칠고 마무리(finishing)가 필요할 수 있다. 마무리는 연삭 및/또는 폴리싱 기술의 조합을 사용하여 일어난다. 몇몇 실시예에서, 분리된 표면은, 예를 들어, 임의의 불완전성 또는 표면 거칠기를 제거하기 위해 상기 분리된 표면의 아래에 있는 연마재(abrasive material)를 회전시키는 것과 같은 기술을 사용하는 래핑 및 폴리싱 단계를 거친다. 스코틀랜드(UK) 글래스고(Glasgow)의 로지텍 리미티드(Logitech Limited)라는 회사에서 만든 "PM5 lapping & polishing system"과 같은 기계가 이 기술을 제공할 수 있다.
대안적으로, 화학적 기계적 폴리싱(chemical mechanical polishing) 또는 평탄화("CMP") 기술은 막의 분리된 표면을 마무리한다. CMP에서, 슬러리 혼합물은 회전 압반(platen)에 부착된 폴리싱 표면에 직접적으로 적하(drip)된다. 이러한 슬러리 혼합물은 슬러리 소스에 연결되는 슈트(chute)를 통해 폴리싱 표면으로 전달될 수 있다. 슬러리는 흔히 알루미나(alumina) 연마 입자들 및 산화제(예를 들어, 로지텍 리미티드에 의해 SF1 또는 Chemlox라는 상품명으로 팔리는 하이포아염소산나트륨(sodium hypochlorite)(NaOCl) 또는 알칼리 콜로이드성 실리카(alkaline colloidal silica))를 함유하는 용액이다. 연마재(abrasive)는 흔히 알루미늄 산화물, 알루미늄 트리옥사이드(aluminum trioxide), 비정질 실리카(amorphous silica), 실리콘 카바이드, 다이아몬드 파우더, 및 이들의 임의의 혼합물이다. 이 연마재는 탈이온수(deionized water)와 산화제의 용액 또는 이와 유사한 것에 혼합된다. 상기 용액은 산성일 수 있다.
이 산성 용액은 일반적으로 폴리싱 프로세스 동안 웨이퍼로부터 질화 갈륨 물질과 상호 작용한다. 폴리싱 프로세스는 바람직하게는 매우 단단한 폴리우레탄 폴리싱 패드를 사용한다. 이 폴리싱 패드의 일 예는 로델(Rodel)에 의해 제조되고 IC-1000이라는 상품명으로 판매되는 것이다. 폴리싱 패드는 선택된 속도로 회전된다. 막을 갖는 타겟 웨이퍼를 픽업하는 캐리어 헤드는 선택된 힘이 막에 인가되도록 타겟 웨이퍼의 후면에 선택된 양의 압력을 가한다. 폴리싱 프로세스는 선택된 양의 막 물질을 제거하여, 후속 처리를 위한 비교적 평활한 막 표면을 제공한다. N면 또는 Ga면 GaN이 폴리싱될지 여부에 따라, 적합한 연마 입자 크기를 갖는 슬러리 및 폴리싱 패드가 그에 따라 사용될 수 있다. 예를 들어 N면에 대해서는 콜로이드성 실리카가, Ga면에 대해서는 하이포아염소산나트륨이 사용될 수 있다.
폴리싱 이외에 그리고/또는 폴리싱에 더하여, 고품질 단결정 GaN 벌크 기판으로부터 GaN 층이 작업물로 이송되면 상기 GaN 층의 표면 상태를 준비하기 위해 사용될 수 있는 다수의 다른 표면 준비 옵션이 존재한다. 이 표면 준비의 목적은 주입 또는 클리빙 단계로 인해 손상되거나 절충될 수 있는 이송된 GaN 층의 결정 품질을 회복시키는 것이다.
a. 실리콘 다이옥사이드 또는 AlN과 같은 보호 캡이 있거나 없는 상태로 퍼니스에서 열 어닐링. 이 캡은 어닐링 온도 및 주변 가스 조건에 따라 요구될 수 있다.
b. 1기압(atm) 질소 대기 내의 GaN에 있어서, GaN의 분해(decomposition) 온도는 800-900 ℃ 정도로 낮을 수 있다. 캡 층이 사용되는 경우, GaN 결정 분해가 없는 어닐링 온도는 실질적으로 더 높을 수 있다.
c. 플라즈마 드라이 에칭으로 GaN 표면의 제한된 두께를 제거하여 손상된 표면 영역을 제거하고 고품질의 에피택셜 성장을 가능하게 한다.
d. 손상된 표면 영역을 제거하고 고품질의 에피택셜 성장을 가능하게 하기 위해 GaN 표면의 제한된 두께를 제거하는 습식 화학 에칭(wet chemical etch).
e. 에피택셜 GaN 성장 전에 MOCVD 반응기에서 어닐링 및 에칭. 이것은 MOCVD 반응기 내에서 인 시츄(in-situ)로 행해질 수 있다는 점을 제외하고는 상기 a.와 유사한 기술이다.
또한, 후속 에피택셜 성장 단계가 충분한 품질의 GaN 결정을 산출한다면, 사전 표면 준비없이 클리빙된 상태의 GaN 표면을 사용하는 것이 물론 가능하다. 본 명세서 및 도면에서 참조된 바와 같이, "폴리싱"이라는 용어는 어떤 실시예에 따라서는 폴리싱을 포함하거나 포함하지 않을 수 있는 일종의 표면 처리를 나타내는 것일 수 있다.
상기 설명은 도너 GaN 벌크 물질에 관련되어 있지만, 다른 것들도 사용될 수 있다. 예를 들어, 도너는 거의 모든 단결정, 다결정 또는 비정질 유형의 기판일 수 있다. 또한, 도너는 사파이어, 비소화 갈륨(gallium arsenide)과 같은 III/V 물질 또는 실리콘, 실리콘 카바이드(silicon carbide)와 같은 IV족 물질 등으로 이루어질 수 있다. 본 발명에 의하면 다층 기판 또한 사용될 수 있다. 다층 기판은 GaN 층 기판, 반도체 기판 상의 다양한 샌드위치 층들, 및 다수의 다른 유형의 기판을 포함한다. 또한, 상기 실시예들은 일반적으로 제어된 클리빙 동작을 개시하기 위해 에너지의 펄스를 제공하는 측면에서 기술되었다. 펄스는 제어된 클리빙 동작을 개시하기 위해 기판의 선택된 영역을 가로질러 주사되는 에너지로 대체될 수 있다. 제어된 클리빙 동작을 유지하거나 지속하기 위해 기판의 선택된 영역을 가로질러 에너지를 주사할 수도 있다. 당업자는 본 발명에 의하여 사용될 수 있는 다양한 대안, 수정 및 변형을 쉽게 인식할 수 있을 것이다.
다른 실시예는 헤테로에피택셜(heteroepitaxial) 성장 시드 층으로서 작용할 수 있는 단결정 막을 부착할 수 있다. 부착은 얇은 결정성 금속 막의 프레임을 타겟 기판 상에 위치시키고 주변부에서 상기 막을 절단함으로써 일어날 수 있다. 그 후, 열처리 등으로 상기 막을 영구적으로 만들 수 있다. GaN 헤테로에피택셜 성장을 위한 금속 단결정 막의 예는 AlN 및 HfN과 같은 버퍼층을 사용하는 Cu (111) 및 (110), Mo (111) 및 (110)이다. Ag와 같은 다른 금속도 GaN 성장을 위한 시드 층으로 연구되어 왔다. 이들 금속은 연성(ductile property)을 가지므로, 어떤 경우에는 타겟 기판에 장착하기 전에 막을 신장(stretch)시킴으로써 음의(negative) 격자 부정합(금속 격자 간격 < GaN 격자 간격)을 해결할 수 있다.
결론적으로, 특정 실시예들의 범위 내에 속하는 적어도 다음의 변형들이 주목된다. 어떤 실시예는 클리빙을 향상시키기 위한 백킹(backing) 기술을 포함하여 다양한 하부 기판 및 반사체/배리어/캡슐화재(encapsulant) 층을 이용할 수 있다. 몇몇 실시예에 의하면, 도너는 GaN, Si, SiC 또는 다른 반도체 물질을 포함할 수 있다. 클리빙 후, 상기 물질은 추가 성장을 위해 폴리싱/준비될 수도 있다.
1A. GaN을 포함하고, 주입된 입자들에 의해 형성된 클리빙 영역을 갖는 도너 - GaN 시드 층이 상기 클리빙 영역 위에 있음 - 를 제공하는 단계;
상기 도너를 기판에 본딩하는 단계;
상기 GaN 시드 층을 갖는 기판을 생성하기 위해 상기 클리빙 영역을 따라 상기 도너를 분리하는 단계;
에피택셜 성장 기술을 이용하여 상기 GaN 시드 층 위에 추가적인 GaN을 형성하는 단계
를 포함하는 방법.
2A. 1A 에 있어서, 상기 에피택셜 성장 기술은 액상 에피택시(LPE)를 포함하는 방법.
3A. 1A에 있어서, 상기 에피택셜 성장 기술은 기상 에피택시(vapor phase epitaxy)를 포함하는 방법.
4A. 3A에 있어서, 기상 성장 기술은 수소화물 기상 에피택시(HVPE)를 포함하는 방법.
5A. 3A에 있어서, 기상 성장 기술은 금속-유기 화학 기상 증착(MOCVD)를 포함하는 방법.
6A. 1A에 있어서, 상기 기판은 AlN을 포함하는 방법.
7A. 1A에 있어서, 상기 기판은 멀라이트를 포함하는 방법.
8A. 1A에 있어서, 상기 기판은 몰리브덴을 포함하는 방법.
9A. 1A에 있어서, 상기 기판은 텅스텐을 포함하는 방법.
10A. 1A에 있어서, 상기 추가적인 GaN을 광전자 디바이스에 통합시키는 단계를 더 포함하는 방법.
11A. 1A에 있어서, 상기 시드 층은 약 100-5000 nm의 두께를 갖고, 상기 추가적인 GaN은 약 0.2-10 cm의 두께를 갖는 방법.
12A. 1A에 있어서, 상기 시드 층은 약 0.5-2 um의 두께를 갖고, 상기 추가적인 GaN은 약 0.5-2 cm의 두께를 갖는 방법.
13A. 1A에 있어서, 상기 시드 층은 약 0.5-1 um의 두께를 갖고, 상기 추가적인 GaN은 약 0.5-1 cm의 두께를 갖는 방법.
14A. 1A에 있어서, 상기 GaN 시드 층은 무극성 GaN을 포함하는 방법.
15A. 1A에 있어서, 상기 GaN 시드 층은 반극성(semipolar) GaN을 포함하는 방법.
16A. 1A에 있어서, 상기 GaN 시드 층은 극성 GaN을 포함하는 방법.
17A. 16A에 있어서, 상기 추가적인 GaN은 극성 GaN의 Ga면으로부터 성장되는 방법.
18A. 16A에 있어서, 상기 추가적인 GaN은 극성 GaN의 N면으로부터 성장되는 방법.
본 발명의 실시예들은 추가적인 GaN 물질의 형성을 위한 템플릿으로 작용하는 고품질의 얇은 단결정 GaN 층을 포함하는 웨이퍼를 제조하는 것에 관한 것이다. 일 실시예에서, GaN 물질의 벌크 잉곳은 표면 아래 클리빙 영역을 형성하도록 주입된다. 주입된 벌크 물질은 GaN과 양립가능한 격자 및/또는 열팽창 계수(CTE) 특성을 갖는 기판에 본딩될 수 있다. 이러한 기판 물질의 예는 AlN과 멀라이트를 포함할 수 있지만, 이에 국한되는 것은 아니다. GaN 시드 층은 제어된 클리빙 프로세스에 의해 주입된 벌크 물질로부터 기판 표면으로 이송된다. 기판과 GaN 시드 층의 결과적인 조합은 그 위에 놓이는 고품질 GaN의 후속 성장을 위한 템플릿을 형성할 수 있다. 고품질 GaN의 성장은 액상 에피택시(Liquid Phase Epitaxy: LPE) 또는 기체상 에피택시(gas phase epitaxy), 예를 들어, 금속-유기 화학 기상 증착(Metallo-Organic Chemical Vapor Deposition: MOCVD) 또는 수소화물 기상 에피택시(Hydride Vapor Phase Epitaxy: HVPE)와 같은 기술을 이용하여 일어날 수 있다.
위에서 특정 실시예들을 충분히 설명했지만, 다양한 수정, 대안적인 구성 및 균등물이 사용될 수도 있다. 위에서는 선택된 단계들의 시퀀스를 사용하여 설명했지만, 기술된 단계들의 임의의 요소 등의 임의의 조합이 사용될 수 있다. 또한, 어떤 단계들은 실시예에 따라 결합 및/또는 제거될 수 있다. 또한, 다른 실시예들에 의하면 수정된 선량 및/또는 클리빙 특성을 갖는 클리빙 면의 형성이 가능하도록, 수소 입자들이 헬륨 및 수소 이온 또는 중수소(deuterium) 및 수소 이온의 공동 주입을 사용하여 대체될 수 있다. 또한, 상기 입자들은 주입 프로세스가 아닌 확산 프로세스에 의해 도입될 수 있다. 물론 다른 변형, 수정 및 대안이 있을 수 있다. 그러므로, 상기 설명 및 예시들은 첨부된 청구범위에 의해 규정되는 본 발명의 범위를 제한하는 것으로 간주되어서는 안된다.

Claims (30)

  1. 본딩 층을 갖는 기판을 제공하는 단계;
    제1 클리빙 프로세스를 이용하여 추가 물질의 층을 상기 본딩 층으로 이송하는 단계; 및
    상기 추가 물질의 층 위에 GaN을 형성하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 추가 물질의 층 위에 전구체 층을 형성하는 단계
    를 더 포함하는 방법.
  3. 제2항에 있어서,
    에피택셜 성장 기술을 수행하기 전에 상기 전구체 층 상에 GaN 시드 층을 증착하는 단계
    를 더 포함하는 방법.
  4. 제3항에 있어서,
    상기 증착하는 단계는 금속-유기 화학 기상 증착(MOCVD)을 수행하는 단계를 포함하는 방법.
  5. 제2항에 있어서,
    상기 전구체 층은 AlN을 포함하는 방법.
  6. 제5항에 있어서,
    상기 AlN은 단결정 AlN을 포함하는 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 GaN을 형성하는 단계는 에피택셜 성장 기술을 수행하는 단계를 포함하는 방법.
  8. 제7항에 있어서,
    상기 에피택셜 성장 기술은 액상 에피택시(LPE)를 포함하는 방법.
  9. 제7항에 있어서,
    상기 에피택셜 성장 기술은 수소화물 기상 에피택시(HVPE)를 포함하는 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 추가 물질은 단결정 실리콘을 포함하는 방법.
  11. 제10항에 있어서,
    상기 단결정 실리콘은 (111) 단결정 실리콘을 포함하는 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 추가 물질은 실리콘 카바이드를 포함하는 방법.
  13. 제12항에 있어서,
    상기 실리콘 카바이드는 4H 단결정 실리콘 카바이드를 포함하는 방법.
  14. 제12항에 있어서,
    상기 실리콘 카바이드는 6H 단결정 실리콘 카바이드를 포함하는 방법.
  15. 제12항에 있어서,
    상기 실리콘 카바이드는 3C 단결정 실리콘 카바이드를 포함하는 방법.
  16. 제12항 내지 제15항 중 어느 한 항에 있어서,
    상기 제1 클리빙 프로세스는 주입 후, 본딩 전 어닐링을 포함하는 방법.
  17. 제12항 내지 제16항 중 어느 한 항에 있어서,
    상기 제1 클리빙 프로세스의 열 예산을 감소시키기 위해, 본딩 전에 상기 추가 물질의 주입된 도너의 열처리를 수행하는 단계
    를 더 포함하는 방법.
  18. 제17항에 있어서,
    상기 열처리는 어닐링을 포함하는 방법.
  19. 제17항에 있어서,
    상기 열처리는 레이저 노출을 포함하는 방법.
  20. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 추가 물질은 사파이어를 포함하는 방법.
  21. 제20항에 있어서,
    상기 사파이어는 c-컷 배향 물질을 포함하는 방법.
  22. 제1항 내지 제21항 중 어느 한 항에 있어서,
    상기 추가 물질은 2" 이상의 폭을 갖는 벌크 물질로부터 클리빙 프로세스에 의해 분리되는 방법.
  23. 제1항 내지 제22항 중 어느 한 항에 있어서,
    상기 기판은 다결정성 AlN을 포함하는 방법.
  24. 제1항 내지 제23항 중 어느 한 항에 있어서,
    상기 기판은 멀라이트를 포함하는 방법.
  25. 제1항 내지 제24항 중 어느 한 항에 있어서,
    상기 기판은 몰리브덴을 포함하는 방법.
  26. 제1항 내지 제25항 중 어느 한 항에 있어서,
    상기 기판은 텅스텐을 포함하는 방법.
  27. 제1항 내지 제26항 중 어느 한 항에 있어서,
    광전자 디바이스로의 통합을 위해 GaN으로부터 층을 이송하기 위한 제2 클리빙 프로세스를 수행하는 단계
    를 더 포함하는 방법.
  28. 제27항에 있어서,
    상기 제2 클리빙 프로세스는 입자들을 상기 GaN 물질 내로 주입하는 단계를 포함하는 방법.
  29. 제27항 또는 제28항에 있어서,
    상기 층은 자립형인 방법.
  30. 제27항, 제28항 및 제29항 중 어느 한 항에 있어서,
    상기 층은 지지 기판에 이송되는 방법.
KR1020197004750A 2016-08-02 2017-07-31 기체상 또는 액상 에피택시를 이용한 gan 후막화를 위한 시드 웨이퍼 KR20190036538A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662370169P 2016-08-02 2016-08-02
US62/370,169 2016-08-02
US201662378126P 2016-08-22 2016-08-22
US62/378,126 2016-08-22
US15/662,201 US10186630B2 (en) 2016-08-02 2017-07-27 Seed wafer for GaN thickening using gas- or liquid-phase epitaxy
US15/662,201 2017-07-27
PCT/IB2017/054668 WO2018025166A1 (en) 2016-08-02 2017-07-31 Seed wafer for gan thickening using gas- or liquid-phase epitaxy

Publications (1)

Publication Number Publication Date
KR20190036538A true KR20190036538A (ko) 2019-04-04

Family

ID=61069988

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197004750A KR20190036538A (ko) 2016-08-02 2017-07-31 기체상 또는 액상 에피택시를 이용한 gan 후막화를 위한 시드 웨이퍼

Country Status (6)

Country Link
US (2) US10186630B2 (ko)
EP (1) EP3494248A1 (ko)
JP (1) JP2019528225A (ko)
KR (1) KR20190036538A (ko)
CN (1) CN109790642A (ko)
WO (1) WO2018025166A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180019169A1 (en) * 2016-07-12 2018-01-18 QMAT, Inc. Backing substrate stabilizing donor substrate for implant or reclamation
FR3063176A1 (fr) * 2017-02-17 2018-08-24 Soitec Masquage d'une zone au bord d'un substrat donneur lors d'une etape d'implantation ionique
US10020212B1 (en) 2017-10-09 2018-07-10 Oculus Vr, Llc Micro-LED pick and place using metallic gallium
US10510532B1 (en) * 2018-05-29 2019-12-17 Industry-University Cooperation Foundation Hanyang University Method for manufacturing gallium nitride substrate using the multi ion implantation
CN108878266B (zh) * 2018-07-03 2020-09-08 北京大学 一种在多晶或非晶衬底上生长单晶氮化镓薄膜的方法
CN111785609B (zh) * 2019-04-04 2023-06-23 世界先进积体电路股份有限公司 半导体结构及其制造方法
US11011391B2 (en) * 2019-07-03 2021-05-18 Vanguard International Semiconductor Corporation Semiconductor structure and method for fabricating the same
CN110600435A (zh) * 2019-09-05 2019-12-20 方天琦 多层复合基板结构及其制备方法
CN111668353B (zh) * 2020-06-19 2021-12-17 錼创显示科技股份有限公司 发光半导体结构及半导体基板
TWI728846B (zh) 2020-06-19 2021-05-21 錼創顯示科技股份有限公司 發光半導體結構及發光半導體基板
WO2022222150A1 (zh) * 2021-04-23 2022-10-27 华为技术有限公司 一种hemt器件及其制作方法、电子设备
TWI785763B (zh) * 2021-08-27 2022-12-01 合晶科技股份有限公司 複合基板及其製造方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1988608A (en) 1934-04-18 1935-01-22 William A Ogg Zinc smelting
US6159824A (en) 1997-05-12 2000-12-12 Silicon Genesis Corporation Silicon-on-silicon wafer bonding process using a thin film blister-separation method
FR2835096B1 (fr) * 2002-01-22 2005-02-18 Procede de fabrication d'un substrat auto-porte en materiau semi-conducteur monocristallin
JP3749498B2 (ja) * 2002-03-26 2006-03-01 スタンレー電気株式会社 結晶成長用基板およびZnO系化合物半導体デバイス
FR2857983B1 (fr) * 2003-07-24 2005-09-02 Soitec Silicon On Insulator Procede de fabrication d'une couche epitaxiee
CN100552888C (zh) * 2003-10-27 2009-10-21 住友电气工业株式会社 氮化镓半导体衬底及其制造方法
US8101498B2 (en) * 2005-04-21 2012-01-24 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
DE102006004870A1 (de) * 2006-02-02 2007-08-16 Siltronic Ag Halbleiterschichtstruktur und Verfahren zur Herstellung einer Halbleiterschichtstruktur
US7863157B2 (en) 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
WO2007118121A2 (en) 2006-04-05 2007-10-18 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8124499B2 (en) 2006-11-06 2012-02-28 Silicon Genesis Corporation Method and structure for thick layer transfer using a linear accelerator
US20080128641A1 (en) 2006-11-08 2008-06-05 Silicon Genesis Corporation Apparatus and method for introducing particles using a radio frequency quadrupole linear accelerator for semiconductor materials
US7910458B2 (en) 2007-01-29 2011-03-22 Silicon Genesis Corporation Method and structure using selected implant angles using a linear accelerator process for manufacture of free standing films of materials
US20090278233A1 (en) * 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
US20090206275A1 (en) 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
JP5297219B2 (ja) * 2008-02-29 2013-09-25 信越化学工業株式会社 単結晶薄膜を有する基板の製造方法
JP5040977B2 (ja) * 2009-09-24 2012-10-03 住友電気工業株式会社 窒化物半導体基板、半導体装置およびそれらの製造方法
US9099526B2 (en) * 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US20110254134A1 (en) * 2010-04-19 2011-10-20 Theeradetch Detchprohm Method of Group III Metal - Nitride Material Growth Using Metal Organic Vapor Phase Epitaxy
FI20115255A0 (fi) 2011-03-14 2011-03-14 Optogan Oy Yhdistelmäpuolijohdesubstraatti, puolijohdelaite, ja valmistusmenetelmä
US9269858B2 (en) 2011-08-31 2016-02-23 Micron Technology, Inc. Engineered substrates for semiconductor devices and associated systems and methods
US9257339B2 (en) * 2012-05-04 2016-02-09 Silicon Genesis Corporation Techniques for forming optoelectronic devices
US9466758B2 (en) * 2012-07-25 2016-10-11 Ananda H. Kumar Composite substrates of silicon and ceramic
US9082692B2 (en) 2013-01-02 2015-07-14 Micron Technology, Inc. Engineered substrate assemblies with epitaxial templates and related systems, methods, and devices
CN103074672A (zh) * 2013-01-06 2013-05-01 向勇 一种单晶硅的气相外延生长方法
WO2014113503A1 (en) * 2013-01-16 2014-07-24 QMAT, Inc. Techniques for forming optoelectronic devices
US9574287B2 (en) * 2013-09-26 2017-02-21 Globalfoundries Inc. Gallium nitride material and device deposition on graphene terminated wafer and method of forming the same
US9472518B2 (en) 2014-04-04 2016-10-18 Micron Technology, Inc. Semiconductor structures including carrier wafers and methods of using such semiconductor structures
US9716023B2 (en) 2014-07-15 2017-07-25 Micron Technology, Inc. Methods for temporarily bonding a device wafer to a carrier wafer, and related assemblies
WO2016106231A1 (en) * 2014-12-22 2016-06-30 Sunedison Semiconductor Limited Manufacture of group iiia-nitride layers on semiconductor on insulator structures
EP3311422A4 (en) * 2015-06-19 2019-06-12 Qmat, Inc. PROCESS FOR LAYER AND SEPARATION TRANSFER

Also Published As

Publication number Publication date
US20190288158A1 (en) 2019-09-19
EP3494248A1 (en) 2019-06-12
US10186630B2 (en) 2019-01-22
WO2018025166A1 (en) 2018-02-08
JP2019528225A (ja) 2019-10-10
US20180040764A1 (en) 2018-02-08
CN109790642A (zh) 2019-05-21

Similar Documents

Publication Publication Date Title
US10164144B2 (en) Bond and release layer transfer process
US10186630B2 (en) Seed wafer for GaN thickening using gas- or liquid-phase epitaxy
US20190024259A1 (en) Techniques for forming optoelectronic devices
US20170358704A1 (en) Techniques for forming optoelectronic devices
TWI618265B (zh) 工程基板總成及發光二極體裝置
US20100233866A1 (en) Method for manufacturing semiconductor substrate
KR20190082885A (ko) 층 이송에 의한 마이크로 발광 다이오드의 제조
TW201413783A (zh) 碳化矽紋層
US8658446B2 (en) Method for fabricating semiconductor substrate for optoelectronic components
Faure Review of compound materials bonding and layer transfer for optoelectronic applications
Baumgart et al. Heterointegration of compound III-V semiconductors by wafer bonding and layer splitting for optoelectronic applications