KR20190030783A - 가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정 - Google Patents

가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정 Download PDF

Info

Publication number
KR20190030783A
KR20190030783A KR1020197007573A KR20197007573A KR20190030783A KR 20190030783 A KR20190030783 A KR 20190030783A KR 1020197007573 A KR1020197007573 A KR 1020197007573A KR 20197007573 A KR20197007573 A KR 20197007573A KR 20190030783 A KR20190030783 A KR 20190030783A
Authority
KR
South Korea
Prior art keywords
temperature
heating elements
determining
current load
changing
Prior art date
Application number
KR1020197007573A
Other languages
English (en)
Other versions
KR102230133B1 (ko
Inventor
필립 크리미날
지치앙 궈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020217007610A priority Critical patent/KR102374611B1/ko
Publication of KR20190030783A publication Critical patent/KR20190030783A/ko
Application granted granted Critical
Publication of KR102230133B1 publication Critical patent/KR102230133B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정이 설명된다. 일 예에서, 방법은, 정전 척 내의 복수의 가열 엘리먼트들 각각의 제1 결합 전류 부하를 측정하는 단계; 복수의 가열 엘리먼트들 중 제1 가열 엘리먼트의 전력 상태를 변경하는 단계; 제1 가열 엘리먼트의 전력 상태를 변경한 후에, 복수의 가열 엘리먼트들 각각의 제2 결합 전류 부하를 측정하는 단계; 제1 결합 전류 부하와 제2 결합 전류 부하 간의 차이를 결정하는 단계; 차이를 사용하여 제1 가열 엘리먼트의 온도를 결정하는 단계; 및 제1 가열 엘리먼트의 전력 상태를 변경 전의 전력 상태로 되돌리고, 그리고 복수의 가열 엘리먼트들 각각에서의 온도를 결정하기 위해, 복수의 가열 엘리먼트들의 나머지 가열 엘리먼트들 각각에 대해, 전력을 변경하는 것, 전류 부하를 측정하는 것, 차이를 결정하는 것, 및 온도를 결정하는 것을 반복하는 단계를 포함한다.

Description

가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정
[0001] 본 출원은 "TEMPERATURE MEASUREMENT FOR SUBSTRATE CARRIER USING A HEATER ELEMENT ARRAY"라는 명칭으로 2016년 8월 19일자로 출원된 미국 특허 출원 일련번호 제15/241,379호를 우선권으로 주장하며, 이로써 상기 특허 출원의 우선권이 주장된다.
[0002] 본 설명은 챔버에서의 기판 캐리어를 사용하는 반도체 및 미세 기계가공 기판 프로세싱 분야에 관한 것으로, 특히, 가열기 엘리먼트들의 어레이를 사용하여 기판 캐리어의 온도를 측정하는 것에 관한 것이다.
[0003] 반도체 및 미세 기계가공 시스템들은 실리콘 웨이퍼들과 같은 기판들 상에 형성된다. 증착, 에칭, 성형, 패터닝, 및 세척을 수반하는 복잡한 일련의 동작들이 기판에 적용되어, 기판 상에 반도체 및 미세 기계가공 부품들을 형성하는 미세 구조들이 형성된다. 이러한 구조들은 비용을 감소시키기 위해 더 작게 그리고 서로 더 가깝게 만들어진다. 더 작은 구조들은 재료를 덜 요구하고, 동작을 위한 전력을 덜 요구하고, 그리고 하우징을 위한 공간을 덜 요구한다. 또한, 더 작은 구조들은 종종 더 큰 구조들보다 더 빠르며, 더 많은 이점들을 가질 수 있다.
[0004] 구조들을 더 작게 만들기 위해, 제조 프로세스들은 더 정밀해야 한다. 시간이 지남에 따라 프로세스의 모든 각각의 양상이 개선되어 더 작은 구조들이 가능해진다. 전자 빔 증착, 플라즈마 증착, 플라즈마 강화 화학 기상 증착(PECVD), 레지스트 스트립핑(resist stripping), 및 플라즈마 에칭 등과 같은 제조 프로세스들 대부분은 실리콘 웨이퍼의 온도에 의해 영향을 받는다. 하나의 위치에서의 웨이퍼의 온도가 다른 위치에서의 웨이퍼의 온도와 상이하면, 프로세스의 결과는 상이한 위치들에서 상이할 것이다. 부가하여, 프로세스가 설계된 온도와 온도가 상이하면, 프로세스의 결과들은 설계된 것이 아닐 것이다. 결과적으로, 제조 동안의 온도 변동들은, 일부 구조들이 불량하게 동작하게 하거나 심지어 동작불능이 되는 것을 야기할 수 있다.
[0005] 프로세싱 챔버에서의 기판의 온도는 기판에 대해 적외선 카메라 또는 열 센서를 사용하여 측정될 수 있다. 일부 경우들에서, 하나 이상의 열 센서들을 갖고 그리고 테스트 프로세스 동안 온도들을 메모리에 저장하는 특수한 웨이퍼가 사용된다. 챔버 내에서 특수 웨이퍼를 이용하여 프로세스가 수행되고, 그런 다음, 측정된 온도들에 기반하여 프로세스가 조정된다.
[0006] 가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정이 설명된다. 일 예에서, 방법은, 정전 척(electrostatic chuck) 내의 복수의 가열 엘리먼트들 각각의 제1 결합 전류 부하를 측정하는 단계; 복수의 가열 엘리먼트들 중 제1 가열 엘리먼트의 전력 상태를 변경하는 단계; 제1 가열 엘리먼트의 전력 상태를 변경한 후에, 복수의 가열 엘리먼트들 각각의 제2 결합 전류 부하를 측정하는 단계; 제1 결합 전류 부하와 제2 결합 전류 부하 간의 차이를 결정하는 단계; 차이를 사용하여 제1 가열 엘리먼트의 온도를 결정하는 단계; 및 제1 가열 엘리먼트의 전력 상태를 변경 전의 전력 상태로 되돌리고, 그리고 복수의 가열 엘리먼트들 각각에서의 온도를 결정하기 위해, 복수의 가열 엘리먼트들의 나머지 가열 엘리먼트들 각각에 대해, 전력을 변경하는 것, 전류 부하를 측정하는 것, 차이를 결정하는 것, 및 온도를 결정하는 것을 반복하는 단계를 포함한다.
[0007] 본 발명의 실시예들은 첨부된 도면들의 도해들에서 제한으로서가 아니라 예로서 예시된다.
[0008] 도 1은 실시예에 따른, 기판 캐리어에 부착된 기판의 온도 프로파일을 결정하는 것에 대한 감산(subtractive) 접근법의 프로세스 흐름도이다.
[0009] 도 2는 실시예에 따른, 기판 캐리어에 부착된 기판의 온도 프로파일을 결정하는 것에 대한 가산(additive) 접근법의 프로세스 흐름도이다.
[0010] 도 3은 실시예에 따른, 기판 캐리어에 부착된 기판의 온도 프로파일을 결정하는 것에 대한 가산 및 감산 접근법의 프로세스 흐름도이다.
[0011] 도 4는 실시예에 따른, 기판 캐리어에 부착된 기판의 온도 프로파일을 결정하는 것에 대한 수동(passive) 캐리어 접근법의 프로세스 흐름도이다.
[0012] 도 5는 실시예에 따른, 열 디바이스와 가열 엘리먼트의 전기 응답 특성의 온도에 대한 저항의 그래프이다.
[0013] 도 6은 실시예에 따른, 캐리어 전력 공급부로부터의 전력의 시간 경과에 따른 전류의 그래프이다.
[0014] 도 7은 실시예에 따른, 가열식 기판 캐리어에 커플링된 제어 박스의 도면이다.
[0015] 도 8은 본 발명의 실시예에 따른 정전 척의 등각도이다.
[0016] 도 9는 본 발명의 실시예에 따른, 척 어셈블리를 포함하는 플라즈마 에칭 시스템의 개략도이다.
[0001] 본원에서 설명되는 바와 같이, 프로세싱 챔버 내에서 기판을 보유하는 지지부, 캐리어, 페디스털, 또는 척에 있는 가열 엘리먼트들의 어레이가 기판 지지부의 온도를 측정하는 데 사용될 수 있다. 가열 엘리먼트들은 온도와 관련된 저항을 가져서, 가열 엘리먼트의 저항이 지지부의 온도의 표시로서 측정될 수 있다. 이는, 지지부 바로 위의 기판의 온도의 표시를 제공한다. 가열기 엘리먼트들의 어레이는, 지지부 상의 상이한 포지션들에서의 독립적인 온도 측정들을 제공한다. 상이한 측정들은, 기판에 걸친 온도 변동들이 측정될 수 있게 한다. 이는, 가열기들이 온도를 균일하게 하도록(even out) 동작될 수 있게 하거나 또는 지지부가 일관성 없는 온도들을 정정하도록 수정될 수 있게 한다.
[0002] 일부 경우들에서, 정전 척(ESC)은, ESC의 온도가 ESC의 표면에 걸친 상이한 포지션들에서 상이하게 조정될 수 있게 하도록 가열기 엘리먼트들의 어레이와 피팅(fit)된다. 본 설명은 ESC의 맥락에서 제시되지만, 구조들 및 기법들은 다른 타입들의 기판 캐리어들에 또한 적용될 수 있다. 가열기 엘리먼트들의 어레이는 또한, 온도 센서들 또는 열 센서들로서 사용될 수 있다. 이는, 기판의 온도가 결정될 수 있게 한다. 그런 다음, 대응하는 가열기 엘리먼트는 측정된 온도를 수용하도록 활성화 또는 비활성화될 수 있다.
[0003] 가열기 엘리먼트들은 전류를 수신하도록 와이어링(wire)되고, 본래 가열 엘리먼트들은 전형적으로 온도에 대한 저항의 선형 관계를 갖는다. ESC에서 종종 사용되는 가열기 엘리먼트들은 텅스텐 및 알루미나로 포뮬레이션된(formulated) 금속화 재료를 갖는다. 이러한 금속화된 재료는 많은 다른 재료들과 마찬가지로, 측정 및 제어에 사용될 수 있는 선형 관계로 온도에 응답한다.
[0004] 가열기 엘리먼트들을 사용함으로써, 외부 온도 센서들을 피할 수 있어서, ESC 및 프로세싱 챔버가 단순해진다. 또한, 다수의 밀접하게 배열된 지점들에서 온도를 측정함으로써 기판에 걸친 온도 변동들에 대한 정보가 더 정확할 수 있다.
[0005] 가열기 엘리먼트들로부터의 열 센서 데이터는 개방 루프 모델들 또는 시간 기반 폐쇄 루프 제어 PID(Proportional-Integral-Derivative) 스킴(scheme)을 피딩(feed)하는 데 사용될 수 있다. 측정들은 RF 핫(Radio Frequency hot) 환경 내부 및 외부에서 취해질 수 있고, 플라즈마 에칭 및 다른 프로세스들에서 다수의 상이한 방식들로 구현될 수 있다. 열 센서는, ESC 및 기판 온도의 개방 루프 검증, ESC 및 기판 온도의 폐쇄 루프 제어, 및 손상된 가열 어레이들 또는 냉각 채널 엘리먼트들의 진단에 사용될 수 있다.
[0006] 설명된 접근법에 대한 대안은 각각의 가열기 엘리먼트 근처에 열 센서를 배치하는 것이다. 그러한 경우에, 각각의 가열기 엘리먼트는, 가열기 엘리먼트가 ESC에 열을 가하는 레이트를 제어하기 위한 한 쌍의 와이어들을 요구한다. 각각의 열 센서는 또한 온도 판독치들을 전송하기 위한 한 쌍의 와이어들을 요구한다. 이러한 시스템에 대한 풋프린트는, 열 프로브들 또는 RTD(Resistance Temperature Detector)들의 와이어링, 스위칭, 전력 분배, 및 제어 회로를 수용하기 위해 더 크다.
[0007] 더 큰 풋프린트는, ESC 내에서 스위칭 엘리먼트들 또는 다른 로직 디바이스들에 사용될 수 있는 공간을 차지한다. 또한, 모든 부가적인 와이어들 수용하고 모든 온도 측정들을 추적 및 유지하기 위한 공간이 제어 박스 내에 있을 것이다. 요구되는 공간 외에도, 외부 프로세싱 디바이스들에 대한 기계적 인터페이스들 및 복잡한 I/O가 요구된다. 이러한 부가적인 비용 및 복잡도는, 비용을 감소시키기 위해 더 적고 덜 정확한 측정들로 제한되는 설계들을 초래할 수 있다.
[0008] 설명된 기법들은 다수의 가열기 구역들을 갖는 웨이퍼들, 페디스털들, 캐리어들, 및 정전 척들에 적용될 수 있다. 이들은 또한 벌크 가열 구역들을 포함할 수 있다. 일부 실시예들에서, RF 핫 환경에서 사용되는 150개 초과의 소형 저항성 가열기들이 존재할 수 있지만, 300개 이상이 존재할 수 있다. 이들 모두가 300 mm 실리콘 웨이퍼 캐리어로 수용될 수 있다. 아래에 설명되는 바와 같이, 제어 아키텍쳐는 수백 개의 가열 구역들을 지원하도록 스케일링될 수 있다. 이러한 아키텍쳐는, RF 핫 환경 내부 및 외부 디바이스들 둘 모두에 대한 실시간 제어를 제공하지만, 별도의 온도 측정 하드웨어를 요구하지 않는다.
[0009] 온도를 측정하기 위해, 특정 가열기 엘리먼트에 대한 가열 전류가 턴 오프(turn off)된다. 그런 다음, 측정 전류가 가열기 엘리먼트를 통해 드라이빙(drive)된다. 가열기 엘리먼트를 통과하는 전체 전류 또는 부분 전류를 측정하기 위해 센서 하드웨어가 사용될 수 있다. 일부 실시예들에서, 펄스 폭 변조(PWM; Pulse Width Modulation) 주파수가 사용될 수 있다.
[0010] 측정된 전류와 ESC의 온도 간의 관계는 몇몇 상이한 방식들로 정확하게 측정될 수 있다. 하나의 방식은, 활성화된 어레이 엘리먼트를 타이밍(timing)하고 엘리먼트에 의해 사용되는 전류 및 전압을 측정하는 것이다. 전류 또는 전압 또는 둘 모두는 인라인 분류기(inline shunt resistor)들, 전류 클램프들, 홀 효과(Hall Effect) 센서들, 및 전압 분배기들과 같은 디바이스들에 의해 측정될 수 있다. 신호는, 나중의 프로세싱을 위해 아날로그-디지털 변환기들에 의해 디지털화될 수 있다.
[0011] 도 1은 본원에 설명되는 바와 같은 가열기들을 특징으로 하는 정전 척 또는 임의의 다른 기판 캐리어에 부착된 기판의 온도 프로파일을 결정하는 것에 대한 감산 접근법의 프로세스 흐름도이다. 2에서, 제1 결합 전류 부하가 측정된다. 이러한 전류 부하는, 캐리어의 가열 엘리먼트들 모두, 또는 단일 전류 공급부로 모두가 전력을 공급받는 가열 엘리먼트들의 그룹에 의해 소모되는 전류이다. 이러한 프로세스에서, 단일 가열 엘리먼트들 또는 가열 엘리먼트들의 작은 그룹들이 개별적으로 턴 온(turn on) 또는 턴 오프될 수 있다.
[0012] 4에서, 캐리어의 가열 엘리먼트들 중 하나로부터 전력이 연결해제된다. 이러한 예에서, 가열 엘리먼트들은 공통 전력 공급부를 갖지만, 개별적으로 제어되거나 그룹들로 제어될 수 있다. 각각의 가열 엘리먼트 또는 가열 엘리먼트들의 그룹은, 선택된 가열 엘리먼트가 더 이상 전력을 소모하지 않고 캐리어 또는 운반되는 기판을 더 이상 가열하지 않도록 몇몇 다른 방식들로 스위치 오프, 전력차단(de-powered), 또는 연결해제된다. 이는 엘리먼트 i로 지칭되며, 여기서 i는, 측정될 가열 엘리먼트들 모두에 걸쳐 증분되는 변수이다.
[0013] 선택된 가열 엘리먼트가 연결해제된 채로, 6에서 전류 부하가 다시 측정된다. 이는, 제1 선택된 가열 엘리먼트에 대한 전력을 연결해제한 후의 모든 가열 엘리먼트들에 대한 제2 결합 전류 부하를 제공한다. 8에서, 제1 결합 전류 부하와 제2 결합 전류 부하 간의 차이가 결정된다. 이는, 선택된 가열 엘리먼트 또는 엘리먼트 i에 의해 소모되는 전류를 제공한다. 10에서, 선택된 가열 엘리먼트의 온도가 결정된다.
[0014] 가열 엘리먼트의 온도는 그 가열 엘리먼트의 저항과 직접적으로 관련된다. 저항은 전류 및 알려진 전압을 사용하여 결정될 수 있다. 전압이 알려져 있지 않으면, 전압이 또한 전류 부하와 동시에 측정될 수 있다. 저항은 가열 엘리먼트의 온도에 대한 선형 관계를 가지며, 가열 엘리먼트의 온도는 가열 엘리먼트의 영역에서의 캐리어의 온도와 동일하다. 이어서, 가열 엘리먼트의 온도는, 경험적 측정들에 기반한 모델 또는 검색 테이블을 사용함으로써, 운반되는 기판과 관련될 수 있다. 가열 엘리먼트에서의 온도가 결정되면, 12에서, 시스템은 이어서, 선택된 가열 엘리먼트에 전력을 재연결하도록 동작(move)할 수 있다.
[0015] 14에서, 다른 가열 엘리먼트가 선택된다. 제1 엘리먼트가 엘리먼트 1로 지정되면(여기서, i = 1), i가 증분되고 다음 엘리먼트는 엘리먼트 2이다(여기서, i = 2). i를 증분한 후에 또는 단순히 다음 가열 엘리먼트를 선택한 후에, 프로세스는 2로 되돌아가고, 가열 엘리먼트들 중 나머지 가열 엘리먼트들 각각에 대해, 연결해제하고, 전류 부하를 측정하고, 차이 및 온도를 결정하고, 그리고 그 가열기 엘리먼트를 재연결하는 동작들이 반복되어 캐리어의 가열 엘리먼트들 각각에서의 온도가 결정된다.
[0016] 모든 가열 엘리먼트가 측정된 후에, 이어서, 프로세스는 종료된다. 이러한 프로세스에서, 가열 엘리먼트의 선택은 오프셋 또는 간격을 두고 순서화될 수 있다. 온도 측정을 행하기 위해 가열 엘리먼트가 일시적으로 턴 오프되므로, 캐리어는 그 가열 엘리먼트의 영역에서 약간 더 차가울 것이다. 측정될 다음 가열 엘리먼트는, 국부적인 냉각이 다음 측정에 영향을 주지 않도록, 마지막 가열 엘리먼트로부터 약간의 거리만큼 이격될 수 있다. 캐리어는, 동일한 영역의 다른 가열 엘리먼트가 측정되기 전에, 회복을 위한 시간을 가질 것이다.
[0017] 각각의 가열 엘리먼트의 온도를 측정하는 것 내에서 위에 언급된 바와 같이, 가열 엘리먼트들은 그룹화될 수 있다. 이러한 경우에, 온도는 가열 엘리먼트들의 그룹에 대해 평균화될 것이다. 일 예로서, 결정된 전류, 전압, 또는 저항은 측정된 서브세트의 가열 엘리먼트들 간에 분할 또는 분배될 수 있다. 이는, 단순히 가열 엘리먼트들의 수로 나눔으로써 또는 다른 방식으로 이루어질 수 있다. 그런 다음, 분할된 저항을 사용하여 온도가 결정될 수 있다.
[0018] 도 2는 가열기들을 갖는 정전 척 또는 다른 기판 캐리어에 부착된 기판의 온도 프로파일을 결정하는 것에 대한 가산 접근법의 프로세스 흐름도이다. 22에서, 가열 엘리먼트들 중 제1 가열 엘리먼트가 몇몇 방식으로 스위치 오프되거나, 전력차단되거나, 또는 전력 사용으로부터 연결해제된다. 24에서, 제1 결합 전류 부하가 측정된다. 이러한 전류 부하는, 온도가 측정될 가열 엘리먼트를 제외한, 단일 전류 공급부로 모두가 전력을 공급받는 가열 엘리먼트들의 그룹 또는 캐리어의 가열 엘리먼트들 모두에 의해 소모되는 전류이다. 이러한 프로세스에서, 단일 가열 엘리먼트 또는 가열 엘리먼트들의 작은 그룹이 턴 오프된다. 전력은 나머지 가열 엘리먼트들에 공급되며, 이것이 측정된 것이다. 26에서, 선택된 가열 엘리먼트 i에 대한 전력이 복원되고, 28에서, 전류가 다시 측정된다. 도 1의 예에서와 같이, 전압이 또한 전류와 함께 측정될 수 있거나, 전압은 특정 전력 공급부 구현에 따라 일정하게 유지될 수 있다.
[0019] 30에서, 2개의 측정들 간의 차이가 결정된다. 이는, 선택된 가열 엘리먼트 i에 의해 소모되는 전력을 격리시킨다. 32에서, 이러한 차이는 가열 엘리먼트의 온도를 결정하는 데 사용된다. 온도는, 전류 및 전압 차이를 사용하여 결정될 수 있는 저항과 직접적으로 관련된다. 그런 다음, 이러한 온도 결정은, 프로세스를 수정하고, 생산 변동들을 검출하고, 수율을 개선하는 데 사용하거나 다른 목적들로 사용하기 위해 측정 시간과 함께 로그에 저장될 수 있다.
[0020] 34에서, 프로세스는 가열 엘리먼트들 모두가 측정된 경우 종료되거나, 프로세스는 다음 가열 엘리먼트에 대해 시작되기 위해 처음으로 되돌아간다. 이는, 다음 가열 엘리먼트를 나타내도록 i를 증분하고, 그런 다음, 나머지 가열 엘리먼트들 각각에 대해 전력을 연결해제하고, 전류를 측정하고, 전력을 연결하고, 전류를 다시 측정하고, 차이를 결정하고, 그리고 온도를 결정하는 동작들을 반복함으로써 수행될 수 있다.
[0021] 도 3은 본원에 설명되는 바와 같은 가열기들을 특징으로 하는 정전 척 또는 임의의 다른 기판 캐리어에 부착된 기판의 온도 프로파일을 결정하는 것에 대한 대안적인 가산 및 감산 접근법의 프로세스 흐름도이다. 상기된 바와 같이, 이러한 프로세스는 특히 플라즈마 프로세스 동안 사용하기에 매우 적합하지만, 다른 상황들에서 또한 사용될 수 있다. 40에서, 저항성 가열 엘리먼트들 모두에 공급되는 전압이 측정된다. 측정은, 저항성 가열 엘리먼트들 모두를 함께 공급하는 중앙 전력 공급부에서 이루어질 수 있다. 가열 엘리먼트들은 더 작은 그룹들로 전력을 공급받으면, 예컨대, 4개의 별개의 전력 공급부들 각각이 가열 엘리먼트들의 별개의 ¼에 사용된다면, 이러한 프로세스는 각각의 그룹에 별개로 적용될 수 있다. 이러한 동작은 또한 위에 설명된 처음 2개의 프로세스들에 적용될 수 있다.
[0022] 42에서, 제1 결합 전류 부하가 측정된다. 이러한 전류 부하는, 단일 전류 공급부로 모두가 전력을 공급받는 가열 엘리먼트들의 그룹 또는 캐리어의 가열 엘리먼트들 모두에 의해 소모되는 전류이다. 이러한 프로세스에서, 단일 가열 엘리먼트들 또는 가열 엘리먼트들의 작은 그룹들이 개별적으로 턴 온 또는 턴 오프될 수 있다. 이러한 프로세스는, 가열 엘리먼트들이 정상 동작하는 동안 수행된다. 따라서, 가열 엘리먼트들 대부분은, 완전히 온도 아니고 완전히 오프도 아닌 중간 전력 상태로 있을 것이다. 다시 말해서, 전류 듀티 사이클은 어떤 중간 값에 있을 것이다.
[0023] 다음 동작을 위해, 가열 엘리먼트들 중 특정 단일 가열 엘리먼트가 프로세스의 시작으로 선택된다. 이러한 엘리먼트는, 각각의 엘리먼트에 걸쳐 프로세스를 추적하기 위해, 예컨대, 엘리먼트 1 또는 엘리먼트 0으로 그리고 변수 i = 0으로 지정된다. 44에서, 제1 가열 엘리먼트 i = 0에서 전력이 증가된다. 일부 실시예들에서, 그 가열 엘리먼트는 완전히 온 또는 100 % 듀티 사이클(duty cycle)이 된다. 이러한 온 상태는 전류를 측정하기에 충분할 만큼만 길게 이어질 것이 요구된다. 46에서, 전력을 증가시킨 후에, 가열 엘리먼트들 모두의 전류 부하가 다시 측정된다. 그런 다음, 48에서, 단일 가열 엘리먼트에 대한 전력이 오프로 스위칭된다. 캐리어의 다른 가열 엘리먼트들은 영향을 받지 않는다.
[0024] 48에서 선택된 가열 엘리먼트가 연결해제된 채로, 50에서 전류 부하가 다시 측정된다. 이는, 제1 선택된 가열 엘리먼트에 대한 전력을 연결해제한 후의 모든 가열 엘리먼트들에 대한 제3 결합 전류 부하를 제공한다. 그런 다음, 52에서, 캐리어는 정상 동작으로 되돌아갈 수 있고, 캐리어의 온도가 안정화될 수 있다.
[0025] 56에서, 제1 결합 전류 부하, 제2 결합 전류 부하, 및 제3 결합 전류 부하 간의 차이들이 결정된다. 이는, 선택된 가열 엘리먼트 또는 엘리먼트 i에 의해 소모되는 전류를 제공한다. 56에서, 이러한 차이들을 사용하여, 선택된 가열 엘리먼트의 온도가 결정된다.
[0026] 58에서, 다른 가열 엘리먼트가 선택된다. 제1 엘리먼트가 엘리먼트 1로 지정되면(여기서, i = 1), i가 증분되고 다음 엘리먼트는 엘리먼트 2이다(여기서, i = 2). i를 증분한 후에 또는 단순히 다음 가열 엘리먼트를 선택한 후에, 프로세스는 40으로 또는 전압이 고정된 경우에는 42로 되돌아가고, 캐리어의 가열 엘리먼트들 각각에서 동작들이 반복된다. 모든 가열 엘리먼트가 측정된 후에, 이어서, 프로세스는 종료된다.
[0027] 도 4는 본원에 설명되는 바와 같은 가열기들을 특징으로 하는 수동 정전 척 또는 임의의 다른 기판 캐리어에 부착된 기판의 온도 프로파일을 결정하는 것에 대한 대안적인 접근법의 프로세스 흐름도이다. 이러한 프로세스는 특히 플라즈마 프로세스 동안 테스트 웨이퍼로서 사용하기에 매우 적합하지만, 다른 상황들에서 또한 사용될 수 있다. 특정 챔버에서의 프로세스들을 계측하기 위해, 테스트 웨이퍼가 종종 사용된다. 테스트 웨이퍼는, 메모리 셀들에 커플링된 100개 이상의 열 센서들을 갖는 최상부 표면을 갖는다. 테스트 웨이퍼는 프로세스가 실행되는 동안 캐리어에 부착되고, 테스트 웨이퍼는 프로세스 동안 각각의 열 센서에서의 온도를 로깅(log)한다. 프로세스 후에, 테스트 웨이퍼가 제거되고 온도 로그들이 판독된다. 그런 다음, 온도 데이터에 기반하여 프로세스가 조정될 수 있다.
[0028] 도 4의 프로세스는, 최상부에 수동 웨이퍼를 갖는 가열식 캐리어를 사용하여 유사한 데이터를 수집한다. 가열기들은, 테스트 웨이퍼를 필요로 함이 없이 온도 데이터를 수집하는 데 사용된다. 웨이퍼의 온도는, 캐리어 바로 아래의 캐리어의 온도에 기반하여 추정될 수 있다. 그러한 테스트의 경우, 가열 엘리먼트들은 가열에 사용되지 않고 온도 측정을 위해서만 사용된다. 테스트 웨이퍼와 대조적으로, 도 4의 프로세스는, 프로세스의 종료까지 대기하기보다는 프로세스 동안 또는 실시간으로 온도 데이터가 수집될 수 있게 한다. 이는, 원하는 결과들을 더 신속하게 획득하도록 테스트 프로세스가 테스트 동안 수정될 수 있게 한다.
[0029] 60에서, 저항성 가열 엘리먼트들 모두에 공급되는 전압이 측정된다. 이는 일반적으로, 저항성 가열 엘리먼트들 모두에 공급되는 주 전력 공급 전압이다. 62에서의 전류는, 캐리어가 테스트 프로세스에 열을 가하는 데 사용되고 있지 않기 때문에, 제로(zero)이거나 무시가능할 것이다. 64에서, 가열 엘리먼트들 중 특정 단일 가열 엘리먼트 i가 프로세스의 시작으로서 선택되고, 전력이 인가된다. 이는, 가장 양호한 온도 측정을 제공하도록 선택된 알려진 전력일 것이다. 아래에 설명되는 바와 같이, 더 높은 전류는 전형적으로 온도에 대한 더 높은 응답을 제공할 것이다. 가열 엘리먼트 하나에 전력이 공급된 채로, 66에서, 전류 부하가 측정된다. 이러한 전류는 거의 완전히, 선택된 가열 엘리먼트에 기인한다. 그런 다음, 선택된 가열 엘리먼트는, 이러한 사이클의 경우에 정상 상태인, 오프로 되돌아갈 수 있다.
[0030] 68에서, 제1 결합 전류 부하와 제2 결합 전류 부하 간의 차이가 결정된다. 이는, 잡음, 전류 누설, 및 오프 상태 전류를 제로로부터 멀어지게 이동시키는 다른 팩터(factor)들을 제거한다. 70에서, 이러한 차이들을 사용하여, 선택된 가열 엘리먼트의 온도가 결정된다.
[0031] 72에서, 다른 가열 엘리먼트가 선택되고, 가열 엘리먼트들 모두가 측정될 때까지 프로세스가 반복된다. 그것이 이러한 측정 사이클의 끝이다. 도 1 내지 도 3과 같이, 프로세스는 원하는 만큼 오랫동안 가열 엘리먼트들 모두에 걸쳐 완료될 수 있다. 이는, 시간 경과에 따른 연속적인 온도 맵을 제공한다.
[0032] 도 5는, 전형적인 상업적 저항성 열 디바이스 및 비교를 위한 전형적인 상업적 가열 엘리먼트의 전기 응답 특성의 그래프이다. 수직 스케일은 컴포넌트의 저항을 나타내고, 수평 스케일은 컴포넌트의 온도를 나타낸다. 상부 라인은, 저항을 변경함으로써 온도를 측정하도록 특별히 설계된 저항성 열 디바이스에 대응한다. 도시된 바와 같이, 약 20 ℃의 실온에서 저항은 약 108 Ω이다. 이는 100 ℃에서 거의 140 Ω까지 증가한다. 도시된 바와 같이, 예시된 온도 범위 내에서의 열 디바이스의 응답은 대략적으로 선형이다. 이는, 측정된 저항에 기반하여 온도들이 쉽고 신속하게 결정될 수 있게 한다.
[0033] 가열 엘리먼트의 응답은 하부 라인 상에 도시된다. 이러한 라인은 또한 대략적으로 선형이지만 더 낮은 기울기를 갖는다. 결과적으로, 온도 측정이 덜 정확하다. 이는, 열 디바이스가, 온도에 대한 응답으로 큰 변화를 나타내도록 특별히 설계된 결과이다. 가열 엘리먼트는 전류를 열로 효율적으로 변환하도록 설계된다. 수정들로, 가열 엘리먼트의 응답이 개선될 수 있다. 이러한 예에서, 실온에서 가열 엘리먼트는 약 70 Ω의 저항을 갖는다. 100 ℃에서, 저항은 약 90 Ω까지 증가한다. 열 디바이스는 두 온도들 간에 약 30 Ω의 변화를 나타내지만, 가열 엘리먼트는 동일한 온도에 걸쳐 약 20 Ω만의 변화를 나타낸다.
[0034] 도 6은, 가열 엘리먼트들이 턴 온 및 턴 오프됨에 따른 기판 캐리어 전력 공급부로부터의 시간 경과에 따른 전류의 그래프이다. 이는, 위에 설명된 가산 및 감산 기법들이 어떻게 사용될 수 있는지를 도시한다. 그래프는, 다양한 가열 엘리먼트들의 상태가 변경됨에 따른 공통 주 전력 공급부로부터의 상이한 전류 레벨들을 나타낸다. 제1 상태(230)는 가열 엘리먼트들 대부분이 전력을 공급받을 때의 평균 전류 또는 전력에 대응한다. 232에서, 하나 이상의 가열 엘리먼트들이 온으로부터 오프로 스위칭된다. 이는, 총 전류의 감소를 초래한다. 234에서, 저 전류 스테이지가 종료되고, 236에서, 가열 엘리먼트들이 온 상태로 되돌아간다. 234 및 236에서의 전류 간의 차이는, 온으로부터 오프로 전환된 가열 엘리먼트들에 의해 소모되는 전류를 결정하는 데 사용될 수 있다. 그런 다음, 이는, 그 가열 엘리먼트들 근방의 온도를 결정하는 데 사용될 수 있다.
[0035] 유사한 방식으로, 238에서의 전류는 평균 또는 정상 전력을 반영하고, 240에서, 하나 이상의 가열 엘리먼트들의 제2 세트가 오프로부터 온 상태로 스위칭된다. 이는 총 전류를 증가시킨다. 242에서, 온 사이클이 종료되고, 244에서, 가열 엘리먼트들이 오프 상태로 되돌아간다. 242 및 244에서의 전류 간의 차이는, 전류 인출을 결정하고 그 전류 인출을 이용하여 가열 엘리먼트들의 제2 세트 근방의 온도를 결정하는 데 사용될 수 있다. 곡선의 형상은 도면의 도해를 단순화하기 위해 직사각형으로 도시된다. 실제 시스템에서는, 가열 엘리먼트들 및 전력 공급부가 상태 변화에 적응함에 따른, 각각의 변화 이후의 안정화 시간이 존재할 것이다. 가열 엘리먼트들 및 전력 공급부의 응답은 도시된 바와 같이 즉각적이거나 직접적이지는 않을 것이다.
[0036] 도 7은, 위에 설명된 방법에 사용하기에 적절한 온도 제어 시스템 및 기판 캐리어의 블록도이다. 시스템은, 단말(504)에 커플링된 제어 박스(502)를 갖는다. 단말은, 오퍼레이터가 머신 프로세스들을 제어할 수 있게 하는 사용자 인터페이스를 갖는, 프로세스 제어 또는 온도 제어 소프트웨어를 실행하는 종래의 컴퓨터의 형태일 수 있다. 단말은, 대용량 저장 매체에 커플링된 프로세서, 사용자 인터페이스, 및 제어 박스에 대한 인터페이스를 가질 수 있다. 단말은, 고속 메모리, 무선 또는 유선 통신 인터페이스들, 부가적인 프로세서들 등과 같은 다른 컴포넌트들을 가질 수 있다. 대용량 저장소는, 솔리드 스테이트(solid state), 광학, 또는 자기 저장소에서 명령들, 파라미터들, 및 다양한 로그들을 갖는 머신-판독가능 매체의 형태일 수 있다. 제어 박스는, 단말로부터의 명령들 또는 커맨드들에 대한 응답으로 기판 캐리어(506)의 동작을 제어한다. 제어 박스는, 단말로부터의 일반적인 커맨드들에 따라 자율적으로 동작하는 것이 가능할 수 있다. 제어 박스는, 캐리어의 다른 기능들, 이를테면, 클램프 전극들, 냉각제들, 가스 주입, 및 여기서 도시된 온도 측정의 특징들을 불명료하게 하지 않기 위해 여기서 도시되지 않은 다른 기능들을 제어할 수 있다.
[0037] 제어 박스는 가열 엘리먼트 전력 제어기(510) 및 전력 공급부(512)를 포함한다. 전력 공급부는, 단일 라인을 통한 단일 전력 피드(feed)(516)를 기판 캐리어(506) 내의 팬-아웃(fan-out) 분배기(518)에 제공한다. 팬-아웃 분배기는 전력 공급부(512)로부터 전력을 캐리어(506)의 가열 엘리먼트들(530) 모두에 공급한다. 이러한 전력은 구현에 따라 중요할 수 있다. 예시된 실시예에서, 각각이 10 와트를 인출할 수 있는 150개의 가열 엘리먼트들이 존재하므로, 전력 공급부는 1500 와트를 캐리어에 제공한다. 전압, 전류, 및 다른 파라미터들은 제어 박스 내의 전력 공급부(512)에 의해 측정 및 제어된다.
[0038] 제어 박스의 전력 제어기는, 데이터 인터페이스(514)를 통해 캐리어(506)의 캐리어 제어기(522)에 제어 신호들을 전송한다. 제어 신호들은, 가열 엘리먼트들(530) 각각에 공급되는 전력을 조정하고, 온 상태로부터 오프 상태로 변경하고, 그리고 캐리어의 다른 파라미터들을 조정하는 데 사용될 수 있다. 이러한 예에서, 데이터 신호들은, 단말로부터의 정보 또는 제어 박스 전력 제어기 내에서 생성된 정보에 기반하여 가열기들 각각의 동작의 파라미터들을 설정한다. 제어 신호(514)는 일련의 패킷들일 수 있으며, 각각의 패킷은 가열 엘리먼트들 중 상이한 가열 엘리먼트에 대한 파라미터들을 설정한다. 패킷 헤더들 또는 식별 필드들은, 가열 엘리먼트들 중 특정 가열 엘리먼트를 식별하여, 특정 가열 엘리먼트의 상태가 임의의 패킷으로 그리고 순서화된 일련의 패킷들에서 자신의 차례가 되기 전에 변경될 수 있게 하는 데 사용될 수 있다.
[0039] 이러한 예에서, 캐리어 제어기는 각각의 가열 엘리먼트에 대한 상태 업데이트 패킷들을 수신하고, 가열 엘리먼트들 각각에 대한 상이한 펄스 폭 변조된(PWM) 아날로그 신호를 생성한다. 특정 가열기를 오프 상태로 설정하기 위해서는, PWM 신호가 제로 듀티 사이클 신호 또는 로우(low) 신호이다. 상이한 양들의 가열을 설정하기 위해, 제어기는 각각의 가열 엘리먼트에 대한 개개의 PWM 신호의 듀티 사이클을 조정한다. 이는, 각각의 가열 엘리먼트가, 그 엘리먼트에 대한 고유 신호를 사용하여 독립적으로 제어될 수 있게 한다. 이러한 예에서, 각각의 가열 엘리먼트마다 하나씩, 150개의 고유한 개별적인 PWM 연결들이 존재한다. PWM 신호들은 캐리어 제어기에 의해 생성되고 각각의 가열 엘리먼트에 대한 전력 인터페이스에서 수신된다. 캐리어 제어기로부터의 고유 신호들은, 이들이 단지 PWM 펄스들의 듀티 사이클을 표시하는 광학 신호의 온/오프 사이클일 뿐이도록 매우 단순할 수 있다. 이들은 개개의 가열 엘리먼트에 대한 증폭기의 게이트 드라이버로 직접 변환될 수 있다.
[0040] 각각의 개별 가열 엘리먼트(530)에, 전력 인터페이스(528) 및 실제의 저항성 가열 엘리먼트(530)가 존재한다. 전력 인터페이스는 광-아이솔레이터(opto-isolator)와 같은 아이솔레이터(532)에서 PWM 신호를 수신하여 그 PWM 신호를 증폭기에 인가한다. 증폭기는 팬-아웃 분배기로부터 전력(520)을 수신하고, 수신된 전력을 PWM 신호에 기반하여 변조한다. 변조된 전력은 저항성 가열기(530)에 인가되어 캐리어를 가열하고, 그에 따라, 기판을 가열한다. 민감성 신호를 캐리어 내의 잡음으로부터 차폐하기 위해, 광학 신호가 캐리어 내에서 사용된다. 플라즈마 챔버의 플라즈마, 바이어스 전력, 활성 이온들, 및 다른 양상들이, 전기 신호에, 특히, 아날로그 전기 신호에 간섭할 수 있다.
[0041] 이러한 시스템을 사용하여, 단말(504)은 온도 측정 사이클을 개시하는 데 사용될 수 있다. 대안적으로, 제어 박스(510)는 온도 측정 사이클을 동작시킬 수 있다. 제어 박스는 제어 신호들(514)을 캐리어 제어기(522)에 전송하여, 도 1 내지 도 4에서 설명된 바와 같이, 가열 엘리먼트들 중 특정 가열 엘리먼트들의 듀티 사이클들을 제로로 설정한 다음 특정 순서 및 특정 타이밍으로 다시 정상으로 되돌릴 것이다. 전력 공급부는, 각각의 가열기가 캐리어 전체에 걸쳐 턴 온 및 오프됨에 따른 가열기들로부터의 전류 인출 변화들을 모니터링할 것이다. 이러한 온도 정보는, 각각의 가열기에 적용되는 듀티 사이클을 증가 또는 감소시키거나 특정 프로세스에 대한 메트릭(metric)들을 제공하는 데 사용될 수 있다. 각각의 가열 엘리먼트는 마이크로초 단위로 온 또는 오프로부터 스위칭될 수 있고, 가열의 변화는 캐리어에서의 온도를 새롭게 안정되게 하기 위해 수 초를 요구한다. 수 분이 소요되는 프로세스의 경우, 온도는 프로세스에 걸쳐 여러 번 측정될 수 있다.
[0042] 150개의 가열기들을 사용하는 것은, 캐리어의 작은 영역에 관한 매우 구체적인 정보를 제공한다. 원하는 정밀도에 따라, 더 많거나 더 적은 가열기들이 사용될 수 있다. 부가하여, 각각의 가열 엘리먼트가 개별적으로 제어되므로, 제어기는 가열기들 중 일부에서만 온도를 테스트할 수 있다. 150개의 상이한 온도 위치들 대신, 제어기는, 이용가능한 가열기들 중 50개의 가열기들에서만 온도를 측정함으로써 50개의 상이한 위치들만을 사용할 수 있다. 150개의 가열 엘리먼트들이 제안되었지만, 원하는 정밀도에 따라 더 많거나 더 적을 수 있다. 또한, 개략적 레벨(coarse level)의 제어로 더 많은 가열을 제공하는 몇몇 더 큰 고 전력 가열기들과 같은 다른 가열기들이 존재할 수 있다. 이어서, 개략적 레벨의 제어는 많은 더 작은 가열기들을 사용하여 조정될 수 있다. 이러한 예에서 각각의 히터가 개별적으로 제어되지만, 한 그룹의 가열기들이 그룹으로서 제어되도록 수 개의 가열기들이 단일 PWM 입력 및 증폭기(528)에 연결될 수 있다.
[0043] 도 8은 어셈블링(assemble)된 정전 척의 등각도이다. 지지 샤프트(212)는 아이솔레이터(216)를 통해 베이스 플레이트(210)를 지지한다. 중간 아이솔레이터 플레이트(208) 및 상부 냉각 플레이트(206)는 베이스 플레이트에 의해 지탱된다. 최상부 냉각 플레이트(206)는, 가열기 플레이트의 최상부 표면 상에서 유전체 퍽(205)을 지탱한다. 퍽은, 작업부재(204)를 지지하기 위한 상부 원형 플랫폼 및 가열기 플레이트에 부착하기 위한 하부 동심 원형 베이스(207)를 갖는다. 상부 플랫폼은, 작업부재를 정전기적으로 부착시키기 위한 내부 전극들을 갖는다. 작업부재는 대안적으로, 클램핑될 수 있거나, 진공흡인(vacuum)될 수 있거나, 또는 다른 방식으로 부착될 수 있다.
[0044] 최상부 플레이트의 세라믹을 냉각 플레이트의 금속에 홀딩하기 위해 퍽(215)과 최상부 냉각 플레이트(206) 사이에 접착제 본드(218)가 존재한다. 가열기들은 최상부 플레이트에 또는 중간 가열기 플레이트에 형성될 수 있다. 그러한 실시예에서, 중간 플레이트는 다른 기능들을 수행하지만, 더 이상 가열기들의 위치는 아니다. 캐리어 제어기는 냉각 플레이트에 또는 임의의 다른 위치에 부착될 수 있다. 저항성 가열 엘리먼트들 및 연관된 전력 인터페이스가 퍽의 세라믹 내에 매립(embed)될 수 있다. 이는, 가장 큰 효과를 위해 가열기들을 최상부 플레이트 상의 기판에 가능한 한 가깝게 배치한다.
[0045] ESC는 위에 설명된 바와 같이 퍽 내의 저항성 가열기들 사용하여 작업부재의 온도를 제어할 수 있다. 부가하여, 냉각제 유체가 냉각 플레이트에서 사용될 수 있다. 전력, 제어 신호들, 냉각제, 가스들 등이 지지 샤프트(212)를 통해 냉각제 플레이트(206) 및 퍽(205)에 공급된다. 또한, ESC는 지지 샤프트를 사용하여 조작될 수 있고, 적소에 홀딩될 수 있다.
[0046] 도 9는 본원에 설명된 실시예들에 따른, 페디스털(128)을 갖는 플라즈마 시스템(100)의 부분 단면도이다. 페디스털(128)은, 기판이 다수의 프로세스 및 챔버 조건들에 영향을 받는 동안, 넓은 온도 범위에 걸쳐, 페디스털 상에 포지셔닝된 기판의 온도의 능동 제어를 가능하게 하는 능동 냉각 시스템을 갖는다. 플라즈마 시스템(100)은, 프로세싱 구역(120)을 정의하는 측벽들(112) 및 최하부 벽(116)을 갖는 프로세싱 챔버 바디(102)를 포함한다.
[0047] 페디스털, 캐리어, 척, 또는 ESC(128)가 시스템(100)에서 최하부 벽(116)에 형성되는 통로(122)를 통해 프로세싱 구역(120)에 배치된다. 페디스털(128)은 자신의 상부 표면 상에 기판(도시되지 않음)을 지지하도록 적응된다. 기판은, 다양한 상이한 재료들 중 임의의 재료로 만들어진, 챔버(100)에 의해 적용되는 프로세싱을 위한 다양한 상이한 작업부재들 중 임의의 작업부재일 수 있다. 페디스털(128)은, 원하는 프로세스 온도로 기판 온도를 가열하고 제어하기 위해, 가열 엘리먼트들(도시되지 않음), 예컨대 저항성 엘리먼트들을 선택적으로 포함할 수 있다. 대안적으로, 페디스털(128)은, 램프(lamp) 어셈블리와 같은 원격 가열 엘리먼트에 의해 가열될 수 있다.
[0048] 페디스털(128)은, 프로세싱 구역(120) 내의 페디스털(128)의 상승 및 이동을 제어하는 드라이브 시스템을 포함할 수 있는 전력 아웃렛(outlet) 또는 전력 박스(103)에 샤프트(126)에 의해 커플링된다. 샤프트(126)는 또한, 페디스털(128)에 전력을 제공하기 위해 전력 인터페이스들을 포함한다. 전력 박스(103)는 또한, 전력 및 온도 표시기들을 위한 인터페이스들, 이를테면 열전대(thermocouple) 인터페이스를 포함한다. 샤프트(126)는 또한, 전력 박스(103)에 분리가능하게 커플링되도록 적응되는 베이스 어셈블리(129)를 포함한다. 원주방향 링(circumferential ring)(135)이 전력 박스(103) 위에 도시된다. 일 실시예에서, 원주방향 링(135)은, 전력 박스(103)의 상부 표면과 베이스 어셈블리(129) 사이에 기계적 인터페이스를 제공하도록 구성되는 기계적 스톱(stop) 또는 랜드(land)로서 적응되는 숄더(shoulder)이다.
[0049] 로드(130)가 최하부 벽(116)에 형성된 통로(124)를 통해 배치되고, 페디스털(128)을 통해 배치된 기판 리프트 핀들(161)을 활성화하기 위해 사용된다. 기판 리프트 핀들(161)은, 전형적으로, 기판 이송 포트(160)를 통해 로봇(도시되지 않음)을 사용하여, 작업부재가 제거되고 챔버 내로 인입되고 챔버 밖으로 인출될 수 있게 하기 위해, 페디스털 최상부 표면으로부터 작업부재를 리프팅한다.
[0050] 챔버 바디(102)의 최상부 부분에 챔버 덮개(lid)(104)가 커플링된다. 덮개(104)는, 덮개(104)에 커플링되는 하나 이상의 가스 분배 시스템들(108)을 수용한다. 가스 분배 시스템(108)은, 샤워헤드 어셈블리(142)를 통해 프로세싱 구역(120B) 내에 반응물 및 세정 가스들을 전달하는 가스 인렛(inlet) 통로(140)를 포함한다. 샤워헤드 어셈블리(142)는, 면판(146)에 대해 중간에 배치되는 차단 플레이트(blocker plate)(144)를 갖는 환형 베이스 플레이트(148)를 포함한다.
[0051] 라디오 주파수(RF) 소스(165)가 샤워헤드 어셈블리(142)에 커플링된다. RF 소스(165)는, 가열된 페디스털(128)과 샤워헤드 어셈블리(142)의 면판(146) 사이에서의 플라즈마의 생성을 가능하게 하기 위해 샤워헤드 어셈블리(142)에 전력을 공급한다. 일 실시예에서, RF 소스(165)는 HFRF(high frequency radio frequency) 전력 소스, 이를테면 13.56 MHz RF 생성기일 수 있다. 다른 실시예에서, RF 소스(165)는 HFRF 전력 소스 및 LFRF(low frequency radio frequency) 전력 소스, 이를테면 300 kHz RF 생성기를 포함할 수 있다. 대안적으로, RF 소스는, 플라즈마 생성을 가능하게 하기 위해, 프로세싱 챔버 바디(102)의 다른 부분들, 이를테면 페디스털(128)에 커플링될 수 있다. RF 전력이 덮개(104)로 전도되는 것을 방지하기 위해, 유전체 아이솔레이터(158)가 덮개(104)와 샤워헤드 어셈블리(142) 사이에 배치된다. 페디스털(128)의 원하는 높이에서 기판에 맞물리는 섀도우 링(shadow ring)(106)이 페디스털(128)의 주변부 상에 배치될 수 있다.
[0052] 선택적으로, 동작 동안 환형 베이스 플레이트(148)를 냉각시키기 위해, 냉각 채널(147)이 가스 분배 시스템(108)의 환형 베이스 플레이트(148)에 형성된다. 물, 에틸렌 글리콜, 가스 등과 같은 열 전달 유체가 냉각 채널(147)을 통해 순환될 수 있으며, 이로써, 베이스 플레이트(148)는 미리 정의된 온도로 유지된다.
[0053] 챔버 라이너(liner) 어셈블리(127)는, 프로세싱 구역(120) 내의 프로세싱 환경에 대한 측벽들(101, 112)의 노출을 방지하기 위해, 챔버 바디(102)의 측벽들(101, 112)에 매우 가까이 근접하게 프로세싱 구역(120) 내에 배치된다. 라이너 어셈블리(127)는, 프로세싱 구역(120)으로부터 가스들 및 부산물들을 배기하고 그리고 프로세싱 구역(120) 내의 압력을 제어하도록 구성되는 펌핑 시스템(164)에 커플링된 원주방향 펌핑 캐비티(125)를 포함한다. 복수의 배기 포트들(131)이 챔버 라이너 어셈블리(127) 상에 형성될 수 있다. 배기 포트들(131)은, 시스템(100) 내의 프로세싱을 촉진시키는 방식으로, 프로세싱 구역(120)으로부터 원주방향 펌핑 캐비티(125)로의 가스들의 유동을 허용하도록 구성된다.
[0054] 시스템 제어기(170)가 챔버에서의 제조 프로세스를 제어하기 위해 다양한 상이한 시스템들에 커플링된다. 제어기(170)는 온도 제어 알고리즘들(예컨대, 온도 피드백 제어)을 실행하기 위해 온도 제어기(175)를 포함할 수 있고, 소프트웨어 또는 하드웨어 또는 소프트웨어와 하드웨어 둘 모두의 결합일 수 있다. 시스템 제어기(170)는 또한, 중앙 프로세싱 유닛(172), 메모리(173), 및 입력/출력 인터페이스(174)를 포함한다. 온도 제어기는 페디스털 상의 센서(도시되지 않음)로부터 온도 판독(143)을 수신한다. 온도 센서는 냉각제 채널 근처에 있을 수 있거나, 웨이퍼 근처에 있을 수 있거나, 또는 페디스털의 유전체 재료에 배치될 수 있다. 온도 제어기(175)는, 열 교환기(177)와 같은, 플라즈마 챔버(105) 외부의 열 싱크 및/또는 열 소스와 페디스털 어셈블리(142) 사이의 열 전달의 레이트에 영향을 미치는 제어 신호들을 출력하기 위해, 감지된 온도 또는 온도들을 사용한다.
[0055] 시스템은 또한, 온도 피드백 루프에 기반하여 유동이 제어되는, 제어된 열 전달 유체 루프(141)를 포함할 수 있다. 예시적인 실시예에서, 온도 제어기(175)는 열 교환기(HTX)/칠러(chiller)(177)에 커플링된다. 열 전달 유체는, 열 전달 유체 루프(141)를 통해 밸브에 의해 제어되는 레이트로 밸브(도시되지 않음)를 통해 유동한다. 밸브는, 열 유체의 유량을 제어하기 위해, 열 교환기 내부 또는 외부의 펌프 내에 또는 열 교환기 내에 포함될 수 있다. 열 전달 유체는 페디스털 어셈블리(142)에 있는 도관들을 통해 유동하고, 그런 다음, HTX(177)로 복귀한다. 열 전달 유체의 온도는 HTX에 의해 증가 또는 감소되고, 그런 다음, 유체는 루프를 통해 다시 페디스털 어셈블리로 복귀된다.
[0056] HTX는, 열 전달 유체를 가열하여 그에 의해 기판을 가열하기 위해 가열기(186)를 포함한다. 가열기는, 열 교환기 내의 파이프 주위에 저항성 코일들을 사용하여 형성될 수 있거나, 또는 가열된 유체가 교환기를 통해, 열 유체를 수용하는 도관으로 열을 전도하는 열 교환기로 형성될 수 있다. HTX는 또한, 열 유체로부터 열을 빼내는(draw) 냉각기(188)를 포함한다. 이는, 주변 공기 내로 또는 냉각제 유체 내로 또는 다양한 다른 방식들 중 임의의 방식으로 열을 덤핑하기 위해 라디에이터를 사용하여 행해질 수 있다. 온도 제어 유체가 먼저 가열 또는 냉각된 다음 제어 유체의 열이 열 전달 유체 루프에서 열 유체의 열과 교환되도록, 가열기와 냉각기가 결합될 수 있다.
[0057] 페디스털 어셈블리(142)에 있는 유체 도관들과 HTX(177) 사이의 밸브(또는 다른 유동 제어 디바이스들)는 유체 루프로의 열 전달 유체의 유량을 제어하기 위해 온도 제어기(175)에 의해 제어될 수 있다. 온도 제어기(175), 온도 센서, 및 밸브는 구성 및 동작을 간략화하기 위해 결합될 수 있다. 실시예들에서, 열 교환기는, 열 전달 유체가 유체 도관으로부터 복귀한 후에 열 전달 유체의 온도를 감지하고, 유체의 온도 및 챔버(102)의 동작 상태에 대한 요구되는 온도에 기반하여 열 전달 유체를 가열 또는 냉각시킨다.
[0058] 작업부재 어셈블리에 열을 가하기 위해, 전기 가열기들(도시되지 않음)이 ESC에서 사용된다. 저항성 엘리먼트들의 형태인 전기 가열기들은, 원하는 온도를 획득하기 위해 가열기 엘리먼트들을 에너자이징(energize)하도록 온도 제어 시스템(175)에 의해 제어되는 전력 공급부(179)에 커플링된다.
[0059] 열 전달 유체는, 액체, 이를테면 탈이온수/에틸렌 글리콜, 3M으로부터의 Fluorinert® 또는 Solvay Solexis, Inc.로부터의 Galden®과 같은 불화계 냉각제, 또는 과불소화 불활성 폴리에테르들을 함유하는 것들과 같은 임의의 다른 적합한 유전체 유체일 수 있다(그러나 이들로 제한되지 않음). 본 설명이 PECVD 프로세싱 챔버의 맥락에서 페디스털을 설명하지만, 본원에 설명된 페디스털은 다양한 상이한 챔버들에서 그리고 다양한 상이한 프로세스들에 사용될 수 있다.
[0060] 가압 가스 공급부 또는 펌프 및 가스 저장소(reservoir)와 같은 후방 가스 소스(178)가 질량 유량계(185) 또는 다른 타입의 밸브를 통해 척 어셈블리(142)에 커플링된다. 후방 가스는 헬륨, 아르곤, 또는 챔버의 프로세스들에 영향을 주지 않으면서 웨이퍼와 퍽 사이에 열 대류를 제공하는 임의의 가스일 수 있다. 가스 소스는, 시스템이 연결된 시스템 제어기(170)의 제어 하에서, 아래에서 더 상세히 설명되는 페디스털 어셈블리의 가스 아웃렛을 통해 웨이퍼의 후면으로 가스를 펌핑한다.
[0061] 프로세싱 시스템(100)은 또한, 도 4에서 구체적으로 도시되지 않은 다른 시스템들, 이를테면, 특히, 플라즈마 소스들, 진공 펌프 시스템들, 액세스 도어들, 미세 기계가공, 레이저 시스템들, 및 자동화된 핸들링 시스템들을 포함할 수 있다. 예시된 챔버는 예로서 제공되고, 작업부재 및 원하는 프로세스들의 성질에 따라, 다양한 다른 챔버들 중 임의의 챔버가 본 발명과 함께 사용될 수 있다. 설명된 페디스털 및 열 유체 제어 시스템은 상이한 물리적 챔버들 및 프로세스들에 사용하기 위해 적응될 수 있다.
[0062] 본 발명의 설명 및 첨부된 청구항들에서 사용되는 바와 같이, 맥락이 명확하게 달리 표시하지 않으면, 단수 형태들은 복수 형태들을 또한 포함하도록 의도된다. 또한, 본원에서 사용되는 바와 같은 "및/또는"이라는 용어는 연관된 열거된 아이템들 중 하나 이상의 임의의 그리고 모든 가능한 조합들을 지칭하고 포괄한다는 것이 이해될 것이다.
[0063] "커플링된" 및 "연결된"이라는 용어들은, 이들의 파생어들과 함께, 컴포넌트들 사이의 기능적인 또는 구조적인 관계들을 설명하기 위해 본원에서 사용될 수 있다. 이러한 용어들이 서로에 대한 동의어들로서 의도되지 않는다는 것이 이해되어야 한다. 오히려, 특정 실시예들에서, "연결된"은 2개 이상의 엘리먼트들이 서로 직접적으로 물리적으로, 광학적으로, 또는 전기적으로 접촉하는 것을 표시하기 위해 사용될 수 있다. "커플링된"은 2개 이상의 엘리먼트들이 서로 직접적으로 또는 간접적으로(이들 사이에 다른 개재하는 엘리먼트들을 가지면서) 물리적으로, 광학적으로, 전기적으로 접촉하는 것을 표시하기 위해, 그리고/또는 2개 이상의 엘리먼트들이 (예컨대, 인과 관계에서와 같이) 서로 협력하거나 또는 상호작용하는 것을 나타내기 위해 사용될 수 있다.
[0064] 본원에서 사용되는 바와 같은 "위", "아래", "사이", 및 "상"이라는 용어들은 그러한 물리적인 관계들이 주의되어야 하는 경우에 하나의 컴포넌트 또는 재료 층의, 다른 컴포넌트들 또는 층들에 대한 상대적인 포지션을 지칭한다. 예컨대, 재료 층들의 상황에서, 다른 층 위에 또는 아래에 배치된 하나의 층은 다른 층과 직접적으로 접촉할 수 있거나, 또는 하나 이상의 개재하는 층들을 가질 수 있다. 더욱이, 2개의 층들 사이에 배치된 하나의 층은 2개의 층들과 직접적으로 접촉할 수 있거나, 또는 하나 이상의 개재하는 층들을 가질 수 있다. 대조적으로, 제2 층 "상"의 제1 층은 제2 층과 직접적으로 접촉한다. 유사한 구별들이 컴포넌트 어셈블리들의 상황에서 이루어질 것이다.
[0065] 상기 설명은 제한적인 것이 아니라 예시적인 것으로 의도됨이 이해되어야 한다. 예컨대, 도면들 내의 흐름도들이 본 발명의 특정 실시예들에 의해 수행되는 동작들의 특정 순서를 도시하지만, 그러한 순서가 요구되는 것은 아니라는 것이 이해되어야 한다(예컨대, 대안적인 실시예들이 상이한 순서로 동작들을 수행할 수 있고, 특정 동작들을 조합할 수 있고, 특정 동작들을 중복할 수 있는 식임). 또한, 위의 설명을 읽고 이해할 시, 많은 다른 실시예들이 당업자들에게 명백할 것이다. 본 발명이 특정한 예시적인 실시예들을 참조하여 설명되었지만, 본 발명은 설명된 실시예들로 제한되는 것이 아니라, 첨부된 청구범위들의 사상 및 범위 내에서 변경 및 변형되어 실시될 수 있음이 인식될 것이다. 따라서, 본 발명의 범위는, 첨부된 청구항들에 의해 권리가 부여되는 균등물들의 전체 범위와 함께, 첨부된 청구항들에 관하여 결정되어야 한다.

Claims (15)

  1. 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법으로서,
    상기 캐리어 내의 복수의 가열 엘리먼트들 각각의 제1 결합 전류 부하를 측정하는 단계;
    상기 복수의 가열 엘리먼트들 중 제1 가열 엘리먼트의 전력 상태를 변경하는 단계;
    상기 제1 가열 엘리먼트의 전력 상태를 변경한 후에, 상기 복수의 가열 엘리먼트들 각각의 제2 결합 전류 부하를 측정하는 단계;
    상기 제1 결합 전류 부하와 상기 제2 결합 전류 부하 간의 차이를 결정하는 단계;
    상기 차이를 사용하여 상기 제1 가열 엘리먼트의 온도를 결정하는 단계; 및
    상기 제1 가열 엘리먼트의 전력 상태를 변경 전의 전력 상태로 되돌리고, 그리고 상기 복수의 가열 엘리먼트들 각각에서의 온도를 결정하기 위해, 상기 복수의 가열 엘리먼트들의 나머지 가열 엘리먼트들 각각에 대해, 전력을 변경하는 것, 전류 부하를 측정하는 것, 차이를 결정하는 것, 및 온도를 결정하는 것을 반복하는 단계를 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  2. 제1항에 있어서,
    상기 캐리어 내의 상기 복수의 가열 엘리먼트들 각각의 제1 결합 전압 부하를 측정하는 단계를 더 포함하며,
    상기 온도를 결정하는 단계는 상기 제1 결합 전압을 사용하는 단계를 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  3. 제1항에 있어서,
    결합 전류 부하들의 차이를 사용하여 저항을 결정하는 단계를 더 포함하며,
    상기 온도를 결정하는 단계는 상기 결정된 저항을 사용하여 온도를 결정하는 단계를 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  4. 제3항에 있어서,
    상기 온도를 결정하는 단계는, 상기 결정된 저항에 선형 팩터(linear factor)를 적용하는 단계를 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  5. 제1항에 있어서,
    상기 전력 상태를 변경하는 단계는, 상기 복수의 가열 엘리먼트들의 서브세트에 대한 전력 상태를 변경하는 단계를 더 포함하고,
    상기 온도를 결정하는 단계는, 상기 서브세트의 가열 엘리먼트들 간에 결합 전류 부하들의 차이를 분배하는 단계, 및 분배된 전류 차이를 사용하여 온도를 결정하는 단계를 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  6. 제1항에 있어서,
    상기 제1 가열 엘리먼트의 전력 상태를 변경하는 단계는, 제로 듀티 사이클(zero duty cycle)을 갖는 펄스 폭 변조된 신호를 상기 제1 가열 엘리먼트에 전송하는 단계를 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  7. 제1항에 있어서,
    상기 제1 결합 전류를 측정하기 전에 상기 복수의 가열 엘리먼트들 각각에 전력을 공급하는 단계를 더 포함하며,
    상기 전력 상태를 변경하는 단계는, 상기 제1 가열 엘리먼트로부터 전력을 제거하는 단계를 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  8. 제1항에 있어서,
    상기 제1 결합 전류 부하를 측정하는 단계는, 상기 제1 가열 엘리먼트를 제외한 저항성 가열 엘리먼트들이 온(ON) 상태에 있을 때 측정하는 단계를 포함하고,
    상기 전력 상태를 변경하는 단계는, 상기 제1 가열 엘리먼트를 온 상태로 변경하는 단계를 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  9. 제1항에 있어서,
    상기 제1 결합 전류 부하를 측정하는 단계는, 프로세싱 챔버에서의 온도 제어된 프로세스의 정상 동작 동안 저항성 가열 엘리먼트들이 온 상태에 있을 때 측정하는 단계를 포함하고,
    상기 전력 상태를 변경하는 단계는, 상기 프로세스 동안 상기 제1 가열 엘리먼트의 전력 상태를 증가된 전력 온 상태로 변경하는 단계를 포함하고,
    상기 방법은,
    상기 제2 결합 전류 부하를 측정한 후에 상기 제1 가열 엘리먼트의 전력 상태를 오프(OFF) 상태로 변경하는 단계, 및
    상기 전력 상태를 오프 상태로 변경한 후에 상기 복수의 가열 엘리먼트들 각각의 제3 결합 전류 부하를 측정하는 단계
    를 더 포함하고,
    상기 차이를 결정하는 단계는, 상기 제2 결합 전류 부하와 상기 제3 결합 전류 부하 간의 차이를 결정하는 단계를 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  10. 제1항에 있어서,
    상기 제1 결합 전류 부하를 측정하는 단계는, 프로세싱 챔버에서의 프로세스 동안 저항성 가열 엘리먼트들이 오프 상태에 있을 때 측정하는 단계를 포함하고,
    상기 전력 상태를 변경하는 단계는, 상기 프로세스 동안 상기 제1 가열 엘리먼트의 전력 상태를 온 상태로 변경하는 단계를 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  11. 제1항에 있어서,
    결합 전류 부하를 측정하는 것은 저항성 가열 엘리먼트들 각각에 전력을 공급하는 전력 공급부에서의 전류 부하를 측정하는 것을 포함하는, 프로세싱 동안 캐리어에 부착된 기판의 온도 프로파일을 결정하기 위한 방법.
  12. 명령들을 갖는 머신-판독가능 매체로서,
    상기 명령들은, 상기 머신에 의해 동작되는 경우, 상기 머신으로 하여금,
    기판 캐리어 내의 복수의 가열 엘리먼트들 각각의 제1 결합 전류 부하를 측정하는 것,
    상기 복수의 가열 엘리먼트들 중 제1 가열 엘리먼트의 전력 상태를 변경하는 것,
    상기 제1 가열 엘리먼트의 전력 상태를 변경한 후에, 상기 복수의 가열 엘리먼트들 각각의 제2 결합 전류 부하를 측정하는 것,
    상기 제1 결합 전류 부하와 상기 제2 결합 전류 부하 간의 차이를 결정하는 것,
    상기 차이를 사용하여 상기 제1 가열 엘리먼트의 온도를 결정하는 것; 및
    상기 제1 가열 엘리먼트의 전력 상태를 변경 전의 전력 상태로 되돌리고, 그리고 상기 복수의 가열 엘리먼트들 각각에서의 온도를 결정하기 위해, 상기 복수의 가열 엘리먼트들의 나머지 가열 엘리먼트들 각각에 대해, 전력을 변경하는 것, 전류 부하를 측정하는 것, 차이를 결정하는 것, 및 온도를 결정하는 것을 반복하는 것
    을 포함하는 동작들을 수행하게 하는, 머신-판독가능 매체.
  13. 제12항에 있어서,
    상기 동작들은, 결합 전류 부하들의 차이를 사용하여 저항을 결정하는 것을 더 포함하며,
    상기 온도를 결정하는 것은 상기 결정된 저항을 사용하여 온도를 결정하는 것을 포함하는, 머신-판독가능 매체.
  14. 제12항에 있어서,
    상기 동작들은, 상기 제1 결합 전류를 측정하기 전에 상기 복수의 가열 엘리먼트들 각각에 전력을 공급하는 것을 더 포함하며,
    상기 전력 상태를 변경하는 것은, 상기 제1 가열 엘리먼트로부터 전력을 제거하는 것을 포함하는, 머신-판독가능 매체.
  15. 제12항에 있어서,
    상기 제1 결합 전류 부하를 측정하는 것은, 프로세싱 챔버에서의 온도 제어된 프로세스의 정상 동작 동안 저항성 가열 엘리먼트들이 온 상태에 있을 때 측정하는 것을 포함하고,
    상기 전력 상태를 변경하는 것은, 상기 프로세스 동안 상기 제1 가열 엘리먼트의 전력 상태를 증가된 전력 온 상태로 변경하는 것을 포함하고,
    상기 동작들은,
    상기 제2 결합 전류 부하를 측정한 후에 상기 제1 가열 엘리먼트의 전력 상태를 오프 상태로 변경하는 것, 및
    상기 전력 상태를 오프 상태로 변경한 후에 상기 복수의 가열 엘리먼트들 각각의 제3 결합 전류 부하를 측정하는 것
    을 더 포함하고,
    상기 차이를 결정하는 것은, 상기 제2 결합 전류 부하와 상기 제3 결합 전류 부하 간의 차이를 결정하는 것을 포함하는, 머신-판독가능 매체.
KR1020197007573A 2016-08-19 2017-08-08 가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정 KR102230133B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217007610A KR102374611B1 (ko) 2016-08-19 2017-08-08 가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/241,379 US10366867B2 (en) 2016-08-19 2016-08-19 Temperature measurement for substrate carrier using a heater element array
US15/241,379 2016-08-19
PCT/US2017/045989 WO2018034897A1 (en) 2016-08-19 2017-08-08 Temperature measurement for substrate carrier using a heater element array

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217007610A Division KR102374611B1 (ko) 2016-08-19 2017-08-08 가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정

Publications (2)

Publication Number Publication Date
KR20190030783A true KR20190030783A (ko) 2019-03-22
KR102230133B1 KR102230133B1 (ko) 2021-03-18

Family

ID=61192026

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197007573A KR102230133B1 (ko) 2016-08-19 2017-08-08 가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정
KR1020217007610A KR102374611B1 (ko) 2016-08-19 2017-08-08 가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217007610A KR102374611B1 (ko) 2016-08-19 2017-08-08 가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정

Country Status (6)

Country Link
US (3) US10366867B2 (ko)
JP (1) JP6967068B2 (ko)
KR (2) KR102230133B1 (ko)
CN (2) CN116913798A (ko)
TW (1) TWI739881B (ko)
WO (1) WO2018034897A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210010086A (ko) * 2019-07-19 2021-01-27 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872747B2 (en) 2018-08-08 2020-12-22 Lam Research Corporation Controlling showerhead heating via resistive thermal measurements
KR20210128025A (ko) * 2019-03-13 2021-10-25 램 리써치 코포레이션 온도를 근사화하기 위한 정전 척 히터 저항 측정
US11545375B2 (en) * 2019-06-17 2023-01-03 Applied Materials, Inc. Hybrid control system for workpiece heating
CN113494968B (zh) * 2020-03-19 2022-11-25 长鑫存储技术有限公司 温度量测及温度校准的方法和温度量测系统
CN113432737A (zh) 2020-03-19 2021-09-24 长鑫存储技术有限公司 晶圆卡盘温度量测及温度校准的方法和温度量测系统
US11688615B2 (en) * 2020-08-19 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for heating semiconductor wafers
EP4306367A1 (en) * 2022-07-12 2024-01-17 Zanini Auto Grup, S.A. Sensor cover for vehicles and method for heating said sensor cover for vehicles

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5521850A (en) * 1990-11-05 1996-05-28 Watlow Winona, Inc. Method and apparatus for calibration and controlling multiple heaters
US20120292305A1 (en) * 2011-05-20 2012-11-22 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in a process chamber
US20160205725A1 (en) * 2011-08-17 2016-07-14 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04291767A (ja) * 1991-03-20 1992-10-15 Fuji Electric Co Ltd 伝導度変調型mosfet
US20040081439A1 (en) 2000-05-04 2004-04-29 Applied Materials, Inc. Actively-controlled electrostatic chuck heater
AU2002212963A1 (en) 2000-10-25 2002-05-06 Tokyo Electron Limited Method of and structure for controlling electrode temperature
DE10133736A1 (de) * 2001-07-11 2003-01-23 Philips Corp Intellectual Pty Anordnung zum Messen der Temperatur einer elektronischen Schaltung
US7106167B2 (en) * 2002-06-28 2006-09-12 Heetronix Stable high temperature sensor system with tungsten on AlN
JP2008522417A (ja) * 2004-11-29 2008-06-26 ヒートロニクス・コーポレーション 表面取り付け構成部品のための熱的脱着方法及びシステム
CN201004454Y (zh) * 2006-07-13 2008-01-09 中芯国际集成电路制造(上海)有限公司 一种用于半导体可靠性测试的带温度控制功能的加热装置
US8380457B2 (en) * 2007-08-29 2013-02-19 Canon U.S. Life Sciences, Inc. Microfluidic devices with integrated resistive heater electrodes including systems and methods for controlling and measuring the temperatures of such heater electrodes
US9221056B2 (en) * 2007-08-29 2015-12-29 Canon U.S. Life Sciences, Inc. Microfluidic devices with integrated resistive heater electrodes including systems and methods for controlling and measuring the temperatures of such heater electrodes
US8604833B2 (en) * 2010-01-26 2013-12-10 Freescale Semiconductor, Inc. Integrated circuit device and method of using combinatorial logic in a data processing circuit
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
WO2013025852A1 (en) * 2011-08-17 2013-02-21 Lam Research Corporation A system and method for monitoring temperatures of and controlling multiplexed heater array
US9553006B2 (en) * 2011-08-30 2017-01-24 Watlow Electric Manufacturing Company High definition heater system having a fluid medium
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
JP5727961B2 (ja) * 2012-03-30 2015-06-03 ルネサスエレクトロニクス株式会社 半導体装置及びバラツキ情報取得プログラム
CN104681380B (zh) * 2013-11-29 2017-07-07 中微半导体设备(上海)有限公司 一种静电卡盘及其等离子体处理室
US9435692B2 (en) 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9831111B2 (en) 2014-02-12 2017-11-28 Applied Materials, Inc. Apparatus and method for measurement of the thermal performance of an electrostatic wafer chuck
US20160149733A1 (en) 2014-11-26 2016-05-26 Applied Materials, Inc. Control architecture for devices in an rf environment
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US9864361B2 (en) 2015-06-22 2018-01-09 Lam Research Corporation Flexible temperature compensation systems and methods for substrate processing systems
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US11087962B2 (en) 2018-07-20 2021-08-10 Lam Research Corporation Real-time control of temperature in a plasma chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5521850A (en) * 1990-11-05 1996-05-28 Watlow Winona, Inc. Method and apparatus for calibration and controlling multiple heaters
US20120292305A1 (en) * 2011-05-20 2012-11-22 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in a process chamber
US20160205725A1 (en) * 2011-08-17 2016-07-14 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210010086A (ko) * 2019-07-19 2021-01-27 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
JP6967068B2 (ja) 2021-11-17
US11361948B2 (en) 2022-06-14
US20190295824A1 (en) 2019-09-26
KR102230133B1 (ko) 2021-03-18
KR102374611B1 (ko) 2022-03-14
JP2019530209A (ja) 2019-10-17
TW201818487A (zh) 2018-05-16
TWI739881B (zh) 2021-09-21
CN109643668A (zh) 2019-04-16
KR20210031784A (ko) 2021-03-22
US20180053636A1 (en) 2018-02-22
CN116913798A (zh) 2023-10-20
CN109643668B (zh) 2023-08-15
US11929241B2 (en) 2024-03-12
US20220262605A1 (en) 2022-08-18
WO2018034897A1 (en) 2018-02-22
US10366867B2 (en) 2019-07-30

Similar Documents

Publication Publication Date Title
US20210335631A1 (en) Substrate carrier with array of independently controllable heater elements
KR102230133B1 (ko) 가열기 엘리먼트 어레이를 사용하는 기판 캐리어에 대한 온도 측정
TWI736537B (zh) 溫度控制方法
JP6594960B2 (ja) ペデスタルの流体による熱制御
US9622375B2 (en) Electrostatic chuck with external flow adjustments for improved temperature distribution
JP2019519098A (ja) プラズマ処理チャンバ内のウエハキャリアのための高度な温度制御
KR20180001475A (ko) 기판 처리 시스템 및 온도 제어 방법
TW201606919A (zh) 具有獨立隔離的加熱器區域的晶圓載體
KR20160120233A (ko) 온도 제어 장치, 온도 제어 방법 및 프로그램
JP6961025B2 (ja) 基板処理システム
KR20230006910A (ko) 기판을 프로세싱하기 위한 방법들 및 장치들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant