KR20180049084A - 높은 탄성률 비를 갖는 폴리우레탄 화학 기계적 연마 패드 - Google Patents

높은 탄성률 비를 갖는 폴리우레탄 화학 기계적 연마 패드 Download PDF

Info

Publication number
KR20180049084A
KR20180049084A KR1020187010199A KR20187010199A KR20180049084A KR 20180049084 A KR20180049084 A KR 20180049084A KR 1020187010199 A KR1020187010199 A KR 1020187010199A KR 20187010199 A KR20187010199 A KR 20187010199A KR 20180049084 A KR20180049084 A KR 20180049084A
Authority
KR
South Korea
Prior art keywords
pad
thermoplastic polyurethane
storage modulus
substrate
less
Prior art date
Application number
KR1020187010199A
Other languages
English (en)
Other versions
KR102640690B1 (ko
Inventor
린 후
루이 마
네이선 스피어
첸-치 차이
캐스린 버그만
Original Assignee
캐보트 마이크로일렉트로닉스 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 캐보트 마이크로일렉트로닉스 코포레이션 filed Critical 캐보트 마이크로일렉트로닉스 코포레이션
Publication of KR20180049084A publication Critical patent/KR20180049084A/ko
Application granted granted Critical
Publication of KR102640690B1 publication Critical patent/KR102640690B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/22Lapping pads for working plane surfaces characterised by a multi-layered structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D11/00Constructional features of flexible abrasive materials; Special features in the manufacture of such materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D3/00Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents
    • B24D3/02Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents the constituent being used as bonding agent
    • B24D3/20Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents the constituent being used as bonding agent and being essentially organic
    • B24D3/28Resins or natural or synthetic macromolecular compounds
    • B24D3/32Resins or natural or synthetic macromolecular compounds for porous or cellular structure
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L75/00Compositions of polyureas or polyurethanes; Compositions of derivatives of such polymers
    • C08L75/04Polyurethanes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/12Lapping plates for working plane surfaces
    • B24B37/14Lapping plates for working plane surfaces characterised by the composition or properties of the plate materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D18/00Manufacture of grinding tools or other grinding devices, e.g. wheels, not otherwise provided for
    • B24D18/0045Manufacture of grinding tools or other grinding devices, e.g. wheels, not otherwise provided for by stacking sheets of abrasive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

본원은 저온에서는 높은 저장 탄성률을 갖고 고온에서는 낮은 저장 탄성률을 갖는 폴리우레탄 연마 층을 포함하는 화학 기계적 연마 패드를 개시한다. 예를 들어, 개시된 패드 실시양태는 50 이상의 25℃에서의 저장 탄성률 대 80℃에서의 저장 탄성률의 비를 갖는 폴리우레탄으로부터 제조될 수 있다. 폴리우레탄 연마 층은 추가로 70 이상의 쇼어(Shore) D 경도, 320% 이하의 인장 신율, 25℃에서 1200 MPa 이상의 저장 탄성률, 및/또는 80℃에서 15 MPa 이하의 저장 탄성률을 갖는다.

Description

높은 탄성률 비를 갖는 폴리우레탄 화학 기계적 연마 패드
개시된 실시양태는 화학 기계적 연마 패드에 관한 것으로서, 더 구체적으로는 저온에서는 높은 저장 탄성률을 갖고 고온에서는 낮은 저장 탄성률을 갖는 폴리우레탄 물질로 제조된 패드에 관한 것이다.
다수의 화학 기계적 연마(CMP) 작업은 반도체 장치의 프론트-엔드-라인(FEOL) 및 백-엔드-라인(BEOL) 처리에 사용된다. 예를 들어, 하기 CMP 작업이 일반적으로 사용된다. 얕은 트렌치 절연(STI)은 트랜지스터를 제조하기 전에 사용되는 FEOL 공정이다. 실리콘 웨이퍼 내에 형성된 개구부에 테트라에틸 오르쏘실리케이트(TEOS)와 같은 유전체가 증착된다. 이어서, 과잉의 TEOS를 제거하기 위해 CMP 공정이 사용되고, TEOS가 실리콘 웨이퍼에 소정의 패턴으로 상감되는 구조가 형성된다. 텅스텐 플러그 및 인터커넥트(interconnect) 및 구리 인터커넥트 및 듀얼(dual) 다마신(damascene) 공정은, 디바이스 트랜지스터들을 연결하는 금속 와이어 네트워크 형성에 사용되는 BEOL 공정이다. 이들 공정에서, 텅스텐 또는 구리 금속은 유전체 물질(예를 들어, TEOS) 내에 형성된 개구부에 증착된다. CMP 공정은, 과잉의 텅스텐 또는 구리를 유전체로부터 제거하여 그 안에 텅스텐 또는 구리 플러그 및/또는 인터커넥트를 형성하기 위해 사용된다. 층간 절연(ILD) 물질(예를 들어, TEOS)은 레벨들 사이에 전기 절연을 제공하기 위해 금속 인터커넥트 레벨들 사이에 증착된다. ILD CMP 단계는 일반적으로 후속 인터커넥트 레벨을 형성하기 전에, 증착된 절연 물질을 매끄럽고 편평하게 하기 위해 사용된다.
종래의 CMP 공정에서, 연마될 기재(웨이퍼)는 캐리어(연마 헤드) 상에 장착되고, 이후 상기 캐리어는 캐리어 어셈블리 상에 장착되고 CMP 장치(연마 장치) 내의 연마 패드와 접촉하도록 위치된다. 캐리어 어셈블리는 기재에 제어가능한 압력을 제공하여, 연마 패드를 향해 기재에 압력을 가한다. 화학 기계적 연마 조성물은, 일반적으로 기재 및 패드를 서로에 대해 이동시키는 동안 패드 표면에 적용된다. 기재 및 패드 (및 적용된 연마 조성물)의 상대적인 운동은 기재의 표면으로부터 물질의 일부를 연마하고 제거함으로써 기재를 연마한다. 기재의 연마는, 일반적으로 연마 조성물의 화학적 활성(예를 들어, 화학적 촉진제에 의함) 및/또는 연마 조성물에 현탁된 연마제의 기계적 활성에 의해 보조된다.
더 단단한 물질로 제조된 연마 패드는 더 부드러운 물질로 제조된 연마 패드보다 더 높은 제거율, 더 우수한 평탄화 효율, 및 더 긴 유용한 패드 수명을 나타내는 경향이 있다. 그러나, 더 단단한 패드는 부드러운 패드보다 웨이퍼 표면에 더 많은 결함(예를 들어, 스크래치)을 생성하는 경향이 있다. 높은 제거율과 평탄화 효율, 긴 패드 수명, 및 감소된 결함을 달성할 수 있는 연마 패드에 대한 업계의 요구가 존재한다. 현재 입수가능한 패드에는 이러한 범주 중 적어도 하나가 부족하다.
본원은 저온에서는 높은 저장 탄성률을 갖고 고온에서는 낮은 저장 탄성률을 갖는 폴리우레탄 연마 층을 포함하는 화학 기계적 연마 패드를 개시한다. 예를 들어, 25℃에서의 저장 탄성률 대 80℃에서의 저장 탄성률의 비는 30 이상일 수 있다. 폴리우레탄 연마 층은 추가로 70 이상의 쇼어(Shore) D 경도, 320% 이하의 인장 신율, 25℃에서 1200 MPa 이상의 저장 탄성률, 및/또는 80℃에서 15 MPa 이하의 저장 탄성률을 갖는다.
개시된 패드는 예를 들어 높은 평탄화 효율과 낮은 결함을 포함하여 다양한 장점을 제공할 수 있다. 개시된 패드는 온화한 조건화 루틴(routine)과 함께 사용될 때 더 안정한 CMP 제거율을 제공할 수 있다. 온화한 조건화 루틴을 사용하면 추가적으로 패드 수명이 크게 늘어날 수 있다.
개시된 주제 및 그의 이점에 대한 더 완전한 이해를 위해, 첨부된 도면과 함께 하기 설명이 참조된다.
도 1은, 5 개의 개시된 패드 실시양태 및 대조군 실시양태에 대하여, 온도에 따른 저장 탄성률 E'의 그래프를 도시한다.
도 2는, 본 발명의 패드 실시양태 1DS 및 1DF(X2003, X2003F) 및 대조군(D100-JT46)에 대하여, 연마된 웨이퍼의 수에 따른 구리 제거 속도의 그래프를 도시한다.
도 3은, 본 발명의 패드 샘플 1A(DOE211) 및 대조 패드 실시양태(D100)에 대한 구리 패턴 화된 웨이퍼 상의 9 ㎛ x 1 ㎛ 구조의 표면을 가로지르는 조면계(profilometer) 스캔을 도시한다.
도 4는, 개시된 패드 실시양태들 중 몇몇에 대한 디싱(dishing) 그래프를 도시한다.
도 5는, 개시된 패드 실시양태들에 대한 상정된 스크래치의 그래프를 도시한다.
도 6은, 개시된 패드 실시양태들에 대한 패드 마모율의 그래프를 도시한다.
본원은 저온에서는 높은 저장 탄성률을 갖고 고온에서는 낮은 저장 탄성률을 갖는 폴리우레탄 연마 층을 포함하는 화학 기계적 연마 패드를 개시한다. 예를 들어, 적합한 패드의 실시양태에서, 폴리우레탄 연마 층은 25℃에서의 저장 탄성률 대 80℃에서의 저장 탄성률이 30 이상일 수 있다.
본 발명은 폴리우레탄 물질을 포함하는 화학 기계적 연마 패드 기재에 관한 것이다. 본 발명은, 우수한 평탄화 효율, 감소된 결함(예를 들어, 스크래치), 조건화의 용이성, 및 긴 패드 수명을 갖는 화학 기계적 연마 패드의 놀랍고 예기치 못한 발견에 적어도 부분적으로 입각한다. 본 발명의 패드의 특정 실시양태는 낮은 인성(toughness), 높은 탄성률, 및/또는 경질 패드인 것으로 기술될 수 있고, 특정 기계적 속성을 갖는 것으로 특징지어질 수 있다.
본 발명의 연마 패드는 집적 회로 및 다른 마이크로 디바이스의 제조에 사용되는 광범위한 반도체 웨이퍼를 연마하는 데 적용할 수 있다. 이러한 웨이퍼는 일부 실시양태에서 종래의 노드 구성(예를 들어, 90 nm 이하, 65 nm 이하, 32 nm 이하)의 기술 노드일 수 있다. 그러나, 일부 실시양태에서, 본 발명의 연마 패드는 개선된 노드 적용(예를 들어, 22 nm 이하, 18 nm 이하, 16 nm 이하, 14 nm 이하 등)에 특히 적합하다. 웨이퍼 상의 특징부들의 상대적 크기가 작아짐에 따라 각각의 스크래치의 영향이 더 큰 영향을 미치기 때문에, 노드 기술이 발전함에 따라 평탄화 기술에서 결함의 부재는 더욱 중요하게 된다는 것을 이해할 것이다. 제공된 결함의 개선 덕분에, 개시된 연마 패드는 개선된 노드 적용에 특히 적합할 수 있다. 그러나, 전술한 바와 같이, 본 발명의 연마 패드는 개선된 노드 웨이퍼와 함께 사용되는 것으로 제한되지 않으며, 목적하는 바에 따라 다른 공작물을 연마하는 데 사용될 수 있다.
패드는 열가소성 또는 열경화성 폴리우레탄 중합체 수지로부터 제조될 수 있다. 바람직한 실시양태는 열가소성 폴리우레탄 중합체 수지를 사용한다. 중합체 수지는 전형적으로 예비 성형된 중합체 수지이다; 그러나, 중합체 수지는 또한 당 업계에 공지된 많은 방법(예를 들어, 문헌[Szycher's Handbook of Polyurethanes, CRC Press: New York, 1999, Chapter 3] 참조) 중 임의의 적합한 방법에 따라 제자리에서(in situ) 제조될 수 있다. 예를 들어, 열가소성 폴리우레탄은, 우레탄 예비중합체(prepolymer)(예를 들어, 이소시아네이트, 다이-이소시아네이트 및 트라이-이소시아네이트 예비중합체)와 이소시아네이트 반응성 잔기를 포함하는 예비중합체를 반응시켜 제자리에서 제조될 수 있다. 적합한 이소시아네이트 반응성 잔기는 아민 및 폴리올을 포함한다.
폴리우레탄 중합체 수지의 선택은 부분적으로 중합체 수지의 레올로지에 부분적으로 의존할 수 있다. 미국 특허 제 8,075,372 호(전체가 본원에 참고로 인용됨)에는 열가소성 폴리우레탄 패드에 적합한 레올로지 특성이 기재되어있다. 바람직한 실시양태에서, 열가소성 폴리우레탄은 150,000 g/mol 미만(예를 들어, 100,000 g/mol 미만)의 평균 분자량을 갖는다. 더 낮은 분자량을 갖는 폴리우레탄의 사용은 "취성(brittle)"(덜 연성(ductile)) 패드 물질을 유리하게 야기할 수 있고, 따라서 온화한 패드 조건화 루틴이 적합하게 이용되게 할 수 있다.
더 나아가 패드에 부여된 기계적 특성에 기초하여(예를 들어, 동적 기계적 분석을 통해 결정됨) 적합한 폴리우레탄 물질이 선택될 수 있다. 특히, 연마 패드는 바람직하게는 저온(예를 들어, 25℃, 30℃ 및/또는 40℃)에서는 높은 탄성률을 갖고 고온에서는 낮은 탄성률(예를 들어, 70℃, 80℃ 및/또는 90℃)을 갖는 폴리우레탄으로부터 제조될 수 있다. 이론에 얽매이고 싶지 않지만, 연마 중에 벌크 패드 온도(예를 들어, 약 30℃ 내지 약 50℃의 범위)는 낮고, 패드 돌기(asperity) 온도(예를 들어, 약 80℃)는 높을 수 있다고 여겨진다. 저온에서의 높은 탄성률은 패드의 강도(rigidity)를 제공하고 이는 높은 평탄화 효율을 촉진하는 것으로 여겨지는 반면, 고온에서의 낮은 탄성률은 매끄러움을 제공하고 이는 낮은 결함을 촉진시키는 것으로 여겨진다.
저온에서의 저장 탄성률은 바람직하게는 상당히 높다. 예를 들어, 25℃에서 열가소성 폴리우레탄의 저장 탄성률(E')은 바람직하게는 약 1000 MPa 이상(예를 들어, 약 1200 MPa 이상, 또는 약 1400 MPa 이상)이다. 30℃에서 저장 탄성률은 바람직하게는 약 800 MPa 이상(예를 들어, 약 1000 MPa 이상, 또는 약 1200 MPa 이상)이다. 40℃에서 저장 탄성률은 바람직하게는 약 600 MPa 이상(예를 들어, 약 700 MPa 이상, 또는 약 800 MPa 이상)이다. 열경화성 폴리우레탄의 경우, 약 50℃ 미만의 온도에서 저장 탄성률은 바람직하게는 약 300 MPa 이상(예컨대, 400 MPa 이상 또는 500 MPa 이상)이다.
고온에서의 저장 탄성률은 바람직하게는 상당히 낮다. 예를 들어, 80℃ 또는 90℃에서 열가소성 폴리우레탄의 저장 탄성률은 바람직하게는 약 20 MPa 이하(예를 들어, 약 15 MPa 이하, 또는 약 10 MPa 이하)이다. 70℃에서 저장 탄성률은 바람직하게는 약 30MPa 이하(예를 들어, 약 20MPa 이하, 또는 약 15 MPa 이하)이다. 열경화성 폴리우레탄의 경우, 80℃ 이상의 온도에서 저장 탄성률은 바람직하게는 약 20 Mpa 이하(예를 들어, 약 15 MPa 이하, 또는 약 10 MPa 이하)이다.
또한, 폴리우레탄은 저온에서의 저장 탄성률 대 고온에서의 저장 탄성률의 비가 높음을 특징으로 할 수 있다. 예를 들어, 열경화성 폴리우레탄의 경우, 25℃에서의 저장 탄성률 대 80℃에서의 저장 탄성률 비(E'(25):E'(80))가 약 30 이상(예를 들어, 약 40 이상, 약 50 이상, 약 80 이상, 또는 약 100 이상)이다. 열가소성 폴리우레탄의 경우, E'(25):E'(80) 비는 바람직하게는 약 50 이상(예를 들어, 약 80 이상, 약 100 이상, 약 120 이상, 또는 약 150 이상)이다. 대안적인 비를 사용할 때, 40℃에서의 저장 탄성률 대 80℃에서의 저장 탄성률의 비(E'(40):E'(80))가 약 30 이상(예를 들어, 약 40 이상, 약 50 이상, 약 60 이상, 약 80 이상, 또는 약 100 이상)일 수 있다. 열가소성 폴리우레탄의 경우, E'(40):E'(80) 비는 바람직하게는 약 50 이상이다.
개시된 패드는, 예를 들어 약 60 이상(예를 들어, 약 70 이상 또는 약 75 이상)의 쇼어 D 경도(ASTM D2240-95)를 갖는 경질 폴리우레탄 물질로 제조되는 것이 바람직하다. 경질 패드의 사용또한 높은 평탄화 효율을 더욱 촉진한다고 여겨진다.
폴리우레탄은 다소 취성임(또는 다른 방식(낮은 인성 또는 낮은 인장 신율을 가짐)으로 언급됨)을 특징으로 할 수 있다. 예를 들어, 상온(예를 들어, 약 25℃)에서의 인장 신율은 바람직하게는 약 350% 이하(예를 들어, 약 340% 이하, 약 320% 이하, 또는 약 300% 이하)이다. 이론에 얽매이고 싶지 않지만, 높은 인장 신율(예를 들어, 약 350% 이상)을 갖는 패드와 같이 질긴 패드는 (패드 물질의 파단/전단/찢어짐을 위해 더 높은 에너지가 필요하기 때문에) 더 가혹한 조건화를 요구하는 경향이 있는 것으로 예상된다. 따라서, 더 낮은 인성을 갖는 폴리우레탄(예를 들어, 더 낮은 인장 신율을 갖는 폴리우레탄)의 사용은 덜 가혹한 조건화를 요구하는 패드를 야기할 수 있으며, 이는 패드 수명 연장을 촉진할 수 있다.
하나의 바람직한 실시양태에서, 연마 패드는, 약 100 이상의 E'(25):E'(80) 비, 약 1000 MPa 이상의 저장 탄성률 E'(25) 또는 약 20 이하의 저장 탄성률 E'(80), 약 70 이상의 쇼어 D 경도, 및 약 320% 이하의 인장 신율을 갖는 열가소성 폴리우레탄으로부터 제조된다.
상기 개시된 패드는 바람직하게는 비-다공성이지만, 다공성 실시양태를 포함할 수도 있다. 비-다공성 패드는 실질적으로 완전한 고체, 즉, 실질적으로 0과 동일한 공극 용적%를 갖는 패드이다. 이러한 실시양태에서, 패드는 1 g/cm3보다 높은 밀도(예를 들어, 약 1.1 내지 약 1.2g/cm3의 범위)를 갖는다.
특정 실시양태에서, 개시된 패드는 실질적으로 임의의 적합한 공극 크기 및 공극 용적을 갖고 다공성일 수도 있다. 예를 들어, 패드는 약 5 내지 약 200 ㎛ 범위(예를 들어, 약 5 내지 약 100 ㎛ 범위, 또는 약 5 내지 약 50 ㎛ 범위)의 평균 공극 크기를 가질 수 있다. 이러한 패드는 또한 약 1 내지 약 50 용적%(예를 들어, 약 5 내지 약 50%, 또는 약 10 내지 약 40%) 범위의 다공성(공극 부피라고도 함) 용적%를 가질 수 있다.
다공성 패드의 실현 양태에서, 실질적으로 임의의 적합한 기술을 사용하여 폴리우레탄에 공극들을 부여할 수 있다. 예를 들어, 압출 시트를 고압 불활성 가스(예를 들어, 이산화탄소)에 노출시켜, 불활성 가스를 시트에 흡수시키는 고체 상태 발포 공정이 사용될 수 있다. 상기 시트 내의 기포의 핵형성은 다공성을 일으킨다. 흔히 배정되는 미국 특허 공보 제 2015/0056892 호(본원에 전체가 참고로 인용됨)는 적합한 발포 기술을 개시한다.
상기 개시된 패드는 실질적으로 임의의 적합한 패드 제조 기술을 사용하여 제조될 수 있다. 예를 들어, 하나의 적합한 방법의 실시양태에서, 액체 열가소성 폴리우레탄 중합체 수지 혼합물을 혼합한 다음 압출하여 고체 열가소성 폴리우레탄 시트를 형성할 수 있다. 이어서, 시트로부터 연마 패드를 형성할 수 있다.
하기 실시예가 본 발명을 추가로 설명하지만, 당연히, 본 발명의 범주를 제한하는 것으로 해석되어서는 안된다.
실시예 1
이 실시예에서, 다양한 압출된 열가소성 폴리우레탄 패드(본 발명의 다섯 개의 실시양태 및 한 개의 대조군 실시양태)의 기계적 특성을 평가하였다. 평가된 패드는 고체(본질적으로 공극을 갖지 않음)였다. 본 발명의 다섯 개의 실시양태는 패드 샘플 1A, 1B, 1C, 1D 및 1E로서 표 1a 및 1b에 도시되어있다. 표 1a에 나타낸 바와 같이, 본 발명의 패드 샘플은 3 개의 파라미터((i) 경질 부분 대 연질 부분 비, (ii) 제 1 폴리올 대 제 2 폴리올 비, 및 (iii) 제 1 사슬 증량제 대 제 2 사슬 증량제 비)를 변화시킴으로써 통상의 열가소성 폴리우레탄 가공 기술을 이용하여 제조되었다.
[표 1a]
Figure pct00001
대조군 실시양태는 상업적으로 입수가능한 에픽(Epic) D100® 패드(캐보트 마이크로일렉트로닉스(Cabot Microelectronics), 미국 일리노이주 오로라)였다. 평가된 특성은 유리 전이 온도 Tg, DMA 전이 온도(tanδ가 최대인 온도), 인장 파단시 연신율(%), 25℃에서의 저장 탄성률 E'(25), 50℃에서의 저장 탄성률 E'(50), 80℃에서의 저장 탄성률 E'(80), 쇼어 D 경도, 및 열가소성 폴리우레탄 물질 밀도를 포함한다. 상기 패드 물성을 표 1b에 나타냈다.
[표 1b]
Figure pct00002
표 1b의 데이터에 기초할 때, 본 발명의 샘플은 대조군 패드보다 더 높은 DMA 전이 온도, 낮은 연신율, 더 높은 25℃ 및 50℃에서의 저장 탄성률, 더 낮은 80℃에서의 저장 탄성률, 및 더 높은 쇼어 D 경도를 갖는다. 아래에서 더 상세히 설명되는 바와 같이, 이들 특성(단독 또는 조합)이 우수한 패드 조건화가능성(conditionability), 평탄화 효율, 및 결함 성능을 달성하는 것으로 여겨진다.
도 1은, 패드 샘플 1A, 1B, 1C, 1D, 1E(비공식 도면에서, 청색, 갈색, 마젠타색, 시안색 및 녹색) 및 대조군(비공식 도면에서, 적색/오렌지색)에 대하여 온도에 따른 저장 탄성률 E'의 그래프를 보여준다. 그래프의 데이터는 TA 인스트루먼츠(Instruments)에서 구할 수 있는 Q800 DMA 측정 도구를 사용하여 생성되었다. 시험은, 주파수 1Hz, 진폭 30㎛, 및 0 내지 120℃에서 5℃/분의 온도 상승을 갖는 표준 다중 주파수 제어 변형 인장 모드에 따라 수행되었다. 각각의 패드 샘플은 인장 클램프를 위해 6 mm x 30 mm 직사각형 모양으로 제조되었다.
도 1에 도시된 데이터는, 본 발명의 패드 샘플이 대조 샘플보다 낮은 온도(예를 들어, 약 50℃ 미만)에서 더 높은 저장 탄성률 E' 값을 갖는다는 것을 보여준다. 상기 도시된 데이터는 본 발명의 패드 샘플이 대조 샘플보다 고온(예를 들어, 약 60℃ 초과)에서 더 낮은 저장 탄성률 E' 값을 갖는다는 것을 추가로 보여준다.
실시예 2
본 발명의 패드 샘플 1D 및 대조군(캐보트 마이크로일렉트로닉스 사로부터 입수가능한 에픽(Epic) D100® 패드)을 사용하여 250 웨이퍼 런(run)에 대한 구리 제거 속도를 평가했다. 이 실시예는 온화한 패드 조건화 루틴(하기 설명됨)을 사용할 때 본 발명의 패드 샘플의 효과를 평가했다. 2 개의 본 발명의 패드 샘플을 평가했다: (i) 고체 비-다공성 패드(1DS) 및 (ii) 대조군 패드의 다공성과 유사한 다공성을 갖는 발포 다공성 패드(1DF). 본 발명의 각각의 패드 샘플은 상업적으로 입수가능한 에픽 D100® 패드와 동일한 동심형(concentric) 그루브 패턴을 포함하였다.
타이탄 프로파일러 헤드가 장착된 응용 물질 미라(Mirra) CMP 연마제 상에, 미국 특허 제 6,217,416 호에 기재된 알루미나-기반 연마 슬러리를 사용하여 200 mm 블랭킷 구리 웨이퍼를 연마함으로써 구리 연마 속도를 얻었다. 슬러리는 사용 시점에서 1.5%의 과산화수소를 함유하였다. 반도체 제조에 사용된 처음 두 단계를 시뮬레이션하기 위해 고- 및 저-다운포스 방법을 사용하였다. 고-다운포스 방법은 93 rpm의 가압판 속도, 87 rpm의 헤드 속도, 및 2.5 psi의 멤브레인 압력을 사용했다. 저-다운포스 방법은 각각 70 및 63 rpm의 가압판 및 헤드 속도 및 1.5 psi의 멤브레인 압력을 사용했다. 슬러리 유속은 200 mL/min이었으며, 분당 12 사이클의 10 구역 사인형 수인 주파수(sweep frequency)를 갖는 키니크(Kinik) 31G-3N 조건화 디스크를 사용하여 연마 패드를 제자리에서 연마 단계의 100% 조건으로 조정했다.
도 2는, 본 발명의 패드 실시예 1DS 및 1DF(비공식 도면에서 청색 및 녹색) 및 대조군(비공식 도면에서 적색)에 대하여 연마된 웨이퍼의 수에 따른 구리 제거 속도의 그래프를 도시한다. 실험하는 동안 고체 패드에 대한 Cu 제거 속도(약 8500 Å/분)가 높았고 실질적으로 일정했다는 것이 주목되고, 이는 완만한 조건화 루틴이 1DS 패드 실시예에 적합함을 암시한다. 실험하는 동안 발포 패드 1DF의 제거 속도는 약 8000 Å/분에서 약 7000 Å/분으로 단순 감소하는 반면, 대조 패드의 제거 속도는 약 8000 Å/분에서 약 6000 Å/분으로 감소하여, 이 패드 실시예는 더 가혹한 조건화 루틴을 요구함을 암시한다.
실시예 3
본 발명의 패드 샘플 1A, 1B, 1C, 1D 및 대조군(캐보트 마이크로일렉트로닉스 사로부터 입수가능한 에픽 D100® 패드)을 사용하여 블랭킷 및 패턴화된 구리 웨이퍼를 연마했다. 본 실시예는 본 발명의 샘플의 패턴화된 웨이퍼 성능(특히 디싱) 및 결함(특히 스크래치)을 평가했다. 본 발명의 패드의 고체, 비-다공성(S) 및 발포(F) 버전 모두 평가했다. 견고한 패드는 본질적으로 비-다공성이었다. 발포 패드는 5 내지 40 ㎛의 평균 공극 크기를 갖는 약 10 내지 30 용적% 범위의 다공성을 가졌다. 본 발명의 패드 샘플 각각은 시판중인 에픽 D100® 패드와 동일한 동심형 그루브 패턴을 포함했다.
타이탄 프로파일러 헤드가 장착된 응용 물질 미라(Mirra) CMP 연마제 상에, MIT854 구리 패턴 웨이퍼(직경 200mm)를 실시예 2에 기술된 바와 동일한 슬러리를 사용하여 종료점까지 연마했다. 반도체 제조에 사용된 처음 두 단계를 시뮬레이션하기 위해 고- 및 저-다운포스 방법을 사용하였다. 고-다운포스 방법은 93 rpm의 가압판 속도, 87 rpm의 헤드 속도, 및 2.5 psi의 멤브레인 압력을 사용했다. 저-다운포스 방법은 각각 70 및 63 rpm의 가압판 및 헤드 속도 및 1.5 psi의 멤브레인 압력을 사용했다. 슬러리 유속은 200 mL/min이었으며, 분당 12 사이클의 10 구역 사인형 수인 주파수를 갖는 키니크 31G-3N 조건화 디스크를 사용하여 연마 패드를 제자리에서 연마 단계의 100% 조건으로 조정했다. MIT 854 구리 패턴 웨이퍼는 벌크 구리 두께에 대해 사전 측정되었으며, 고-다운포스 방법을 사용하여 목표하는 2000 Å의 남은 두께로 연마되었다. 나머지 구리 과부하는 저-다운포스 방법을 사용하여 제거되었으며, 연마 시간은 광학 종점 시스템에 의해 결정되었다.
결함 크기 임계치를 200 nm로 설정한 KLA 텐코어(Tencor) 서프스캔(Surfscan) SP1 비-패턴 웨이퍼 검사 시스템을 사용하여, 연마 후 전체 결함 수준을 조사했다. 결함은 SEM 측정 및 육안 검사로 분류했다. 비이코(Veeco) UVx310 조면계를 사용하여 디싱 및 부식을 조사했다. 부식은 100 μm x 100 μm 구조부터 취했으며 구리 선 사이의 산화물 스페이서 상단과 필드 사이의 프로파일 높이 차이로 정의된다. 디싱은, 9 μm x 1 μm 구조부터 취했으며 배열 구조 내에서 높은 산화물 특징부와 낮은 구리 특징부 사이의 차이로 정의된다. 필드와 구리 구조에 대한 특정 값은, 정의된 관심 영역 내의 높이 분포로부터 정의되었고, 이때 필드 높이는 항상 높이 분포의 상위 97%로 취했고 구리 선과 산화물 스페이서의 높이는 높이 분포의 하위 5%에 의해 각각 정의되었다.
도 3은, 본 발명의 패드 샘플 1A(비공식 도면에서는 청색) 및 대조군 패드 실시양태(비공식 도면에서 적색)에 대한 9㎛ × 1㎛ 구조의 표면을 가로지르는 조면계 스캔을 도시한다. 패드 샘플 1A를 사용하여 개선된 평탄도(산화 부식 및 디싱)를 달성함이 주목된다.
도 4는, 개시된 패드 실시양태들 중 몇몇에 대한 디싱(dishing) 그래프를 도시한다. 패드 샘플 1A, 1B, 1C 및 1D의 고체 및 발포 실시양태 모두 대조군에 비해 개선된 디싱을 달성함이 주목된다.
도 5는, 개시된 패드 실시양태들에 대한 상정된 스크래치의 그래프를 도시한다. 패드 샘플 1D 및 고체 패드 샘플 1C의 고체 및 발포 실시양태 모두 스크래치 성능의 감소를 달성했다. 패드 샘플 1A 및 1B는 유사한 상정된 스크래치 성능을 달성했다.
실시예 4
패드 샘플 1A, 1B, 1C, 1D, 및 1E의 패드 마모율을 평가하기 위해 패드 마모 시험을 수행하였다. 대조군으로 IC1010 패드(다우 케미칼(Dow Chemical)로부터 입수가능)를 사용하였다. 패드 마모 시험은 MiniMet1000 그라인더/연마제를 사용하여 A165 조건화 디스크로 패드 샘플을 1 시간 동안 연삭하는 것을 포함했다. 조건화 디스크를 35 rpm으로 회전시켰다. 2.25 인치 직경 패드 샘플을 조건화 디스크와 접촉하도록 가압하는데 2 파운드의 다운포스(downforce)를 사용하였다. 실시예 2에 기술된 바와 같은 슬러리를 약 40 내지 약 100 mL/min 유속으로 디스크에 적용하였다. 온도는 약 50℃로 유지하였다. 패드 마모율을 도 1에 도시하였다. 본 발명의 패드 각각은 대조군보다 낮은 패드 마모율을 가져 잠재적으로 개선된 패드 수명 및 조건화 용이성을 암시한다.
본 발명의 연마 패드가 연마 패드의 표면을 가로질러 연마 조성물의 측면 수송을 용이하게 하는 그루브(groove), 채널, 및/또는 천공을 포함하는 연마 표면을 선택적으로 가질 수 있음을 이해할 것이다. 이러한 그루브, 채널, 또는 천공은 임의의 적합한 패턴일 수 있고, 임의의 적절한 깊이 및 폭을 가질 수 있다. 연마 패드는 2 개 이상의 상이한 그루브 패턴, 예를 들어 미국 특허 제 5,489,233 호에 기술된 바와 같은 큰 그루브과 작은 그루브의 조합을 가질 수 있다. 그루브는 경사 그루브, 동심원 그루브, 나선형 또는 원형 그루브, XY 크로스해치(crosshatch) 패턴의 형태일 수 있으며, 연결성에 있어서 연속성 또는 비연속성일 수 있다. 바람직하게는, 연마 패드는 표준 패드 조건화 방법에 의해 생성되는 적어도 작은 그루브를 포함한다.
본 발명의 연마 패드는 화학 기계적 연마(CMP) 장치와 함께 사용하기에 특히 적합하다. 전형적으로, 상기 장치는, 가압판(platen)(사용 시 움직이고, 궤도, 선형 또는 원운동으로부터 기인한 속도를 가짐), 본 발명의 연마 패드 기재를 포함하는 연마 패드(상기 가압판과 접촉하고 움직일 때 가압판과 함께 이동함), 및 캐리어(연마 패드의 표면에 대해 접촉 및 이동시킴으로써 연마되는 공작물을 보유함)를 포함한다. 공작물의 연마는, 공작물을 연마 패드와 접촉시키고, 일반적으로 공작물과 연마 패드 사이에 연마 조성물과 함께 기재의 표면 상의 물질의 일부 이상을 마멸하도록 기재에 대해 연마 패드를 상대적으로 이동시켜 공작물의 적어도 일부를 연마함으로써 이루어진다. 연마 조성물은 전형적으로 액체 담체(예를 들어, 수성 담체), pH 조절제, 및 선택적으로 연마제를 포함한다. 연마 조성물은, 연마되는 작업물 유형에 따라 선택적으로 산화제, 유기산, 착물화제, pH 완충제, 계면 활성제, 부식 방지제, 소포제 등을 추가로 포함할 수 있다. CMP 장치는 당업계에 공지된 많은 CMP 장치 중 임의의 적절한 CMP 장치일 수 있다. 본 발명의 연마 패드 기재를 포함하는 연마 패드는 선형 연마 공구와 함께 사용될 수도 있다.
바람직하게는, CMP 장치는 제자리 연마 종료점 검출 시스템을 더 포함하며, 다수의 이러한 시스템이 당업계에 공지되어 있다. 공작물의 표면으로부터 반사된 빛 또는 다른 방사선을 분석함으로써 연마 공정을 검사하고 모니터링하는 기술이 당업계에 공지되어 있다. 이러한 방법은 예를 들어 미국 특허 제 5,196,353 호, 미국 특허 제 5,433,651 호, 미국 특허 제 5,609,511 호, 미국 특허 제 5,643,046 호, 미국 특허 제 5,658,183 호, 미국 특허 제 5,730,642 호, 미국 특허 제 5,838,447 호, 미국 특허 제 5,872,633 호, 미국 특허 제 5,893,796 호, 미국 특허 제 5,949,927 호, 및 미국 특허 제 5,964,643 호에 개시되어 있다. 이와 같이, 본 발명의 연마 패드는, 이러한 종료점의 발견을 용이하게 하기 위해, 그 안에 형성된 하나 이상의 투명 창 또는 구멍을 포함할 수 있다.
본 발명의 연마 패드는 단독으로 또는 선택적으로 다층 적층 연마 패드의 한 층으로서 사용될 수 있다. 예를 들어, 연마 패드는 서브 패드와 함께 사용될 수 있다. 서브 패드는 임의의 적절한 서브 패드일 수 있다. 적절한 서브 패드는 폴리우레탄 발포 서브 패드(예를 들어, 로저스 코포레이션(Rogers Corporation)의 포론(PORON)® 발포 서브 패드), 함침된 펠트 서브 패드, 미세 다공성 폴리우레탄 서브 패드, 또는 소결 우레탄 서브 패드를 포함한다. 일반적으로 서브 패드는 본 발명의 연마 패드 기재를 포함하는 연마 패드보다 부드럽고, 따라서 더 압축될 수 있고 연마 패드보다 낮은 쇼어 경도 값을 갖는다. 예를 들어, 서브 패드는 35 내지 50의 쇼어 A 경도를 가질 수 있다. 일부 실시양태에서, 서브 패드는 연마 패드보다 단단하고 압축성이 적으며 쇼어 경도가 더 높다. 서브 패드는 선택적으로 그루브, 채널, 중공 섹션, 윈도우, 구멍 등을 포함한다. 본 발명의 연마 패드가 서브 패드와 함께 사용될 때, 전형적으로 폴리에틸렌 테레프탈레이트 필름과 같은 중간 지지제 층이 존재하며, 연마 패드와 서브 패드 사이에서 연마 패드와 서브 패드와 함께 연장된다.
본 발명의 연마 패드 기재를 포함하는 연마 패드는 많은 유형의 공작물(예를 들어, 기재 또는 웨이퍼) 및 공작물 물질을 연마하는 데 사용하기 적합하다. 예를 들어, 연마 패드는 메모리 저장 장치, 반도체 기재, 및 유리 기재를 포함하는 공작물을 연마하는데 사용될 수 있다. 연마 패드로 연마하기에 적합한 공작물은 메모리 또는 하드 디스크, 자기 헤드, MEMS 장치, 반도체 웨이퍼, 전계방출 디스플레이, 및 다른 마이크로전자 기재(특히, 절연 층(예를 들어, 이산화 규소, 질화규소, 또는 저 유전체 물질) 및/또는 금속(예를 들어, 구리, 탄탈럼, 텅스텐, 알루미늄, 니켈, 티타늄, 백금, 루테늄, 로듐, 이리듐 또는 기타 귀금속)함유 층을 포함하는 마이크로전자 기재)를 포함한다.

Claims (20)

  1. 열가소성 폴리우레탄 연마 층을 포함하는 화학 기계적 연마 패드로서,
    상기 열가소성 폴리우레탄 연마 층은 25℃에서의 저장 탄성률(storage modulus) 대 80℃에서의 저장 탄성률 비가 50 이상인, 화학 기계적 연마 패드.
  2. 제 1 항에 있어서,
    상기 비는 100 이상인, 화학 기계적 연마 패드.
  3. 제 1 항에 있어서,
    상기 열가소성 폴리우레탄 연마 층은 50 이상의 40℃에서의 저장 탄성률 대 80℃에서의 저장 탄성률 비를 또한 갖는, 화학 기계적 연마 패드.
  4. 제 1 항에 있어서,
    상기 열가소성 폴리우레탄 연마 층은 70 이상의 쇼어(Shore) D 경도를 갖는, 화학 기계적 연마 패드.
  5. 제 1 항에 있어서,
    상기 열가소성 폴리우레탄 연마 층은 320% 이하의 인장 신율을 갖는, 화학 기계적 연마 패드.
  6. 제 1 항에 있어서,
    상기 열가소성 폴리우레탄 연마 층은 25℃에서 1000 MPa 이상의 저장 탄성률을 갖는, 화학 기계적 연마 패드.
  7. 제 1 항에 있어서,
    상기 열가소성 폴리우레탄 연마 층은 25℃에서 15 MPa 이하의 저장 탄성률을 갖는, 화학 기계적 연마 패드.
  8. 제 1 항에 있어서,
    상기 패드는 비-다공성인, 화학 기계적 연마 패드.
  9. 제 1 항에 있어서,
    상기 열가소성 폴리우레탄은 약 100,000 g/mol 미만의 분자량을 갖는, 화학 기계적 연마 패드.
  10. 제 1 항에 있어서,
    상기 패드는 약 1.1 내지 약 1.2 g/cm3 범위의 밀도를 갖는, 화학 기계적 연마 패드.
  11. 열경화성 폴리우레탄 연마 층을 포함하는 화학 기계적 연마 패드로서,
    상기 열경화성 폴리우레탄 연마 층은 25℃에서의 저장 탄성률 대 80℃에서의 저장 탄성률 비가 30 이상인, 화학 기계적 연마 패드.
  12. 제 11 항에 있어서,
    상기 열경화성 폴리우레탄 연마 층은 70 이상의 쇼어 D 경도 및 320% 이하의 인장 신율을 갖는, 화학 기계적 연마 패드.
  13. 제 11 항에 있어서,
    상기 열경화성 폴리우레탄 연마 층은 25℃에서 300 MPa 이상의 저장 탄성률 및 80℃에서 20 MPa 이하의 저장 탄성률을 갖는, 화학 기계적 연마 패드.
  14. (a) 제 1 항에 따른 패드를 기재에 접촉하는 단계;
    (b) 기재에 대하여 상기 패드를 이동시키는 단계: 및
    (c) 기재를 마멸하여 기재로부터 한 개 이상의 층의 일부를 제거함으로써 기재를 연마하는 단계
    를 포함하는, 기재의 화학 기계적 연마 방법.
  15. 제 1 항에 따른 패드를 제조하는 방법으로서,
    (a) 열가소성 폴리우레탄 중합체 수지 혼합물을 혼합하는 단계;
    (b) 상기 혼합물을 압출하여 고체 열가소성 폴리우레탄 시트를 제조하는 단계: 및
    (c) 상기 열가소성 폴리우레탄 시트로부터 연마 패드를 제조하는 단계
    를 포함하는, 패드 제조 방법.
  16. (a) 제 11 항에 따른 패드를 기재에 접촉하는 단계;
    (b) 기재에 대하여 상기 패드를 이동시키는 단계: 및
    (c) 기재를 마멸하여 기재로부터 한 개 이상의 층의 일부를 제거함으로써 기재를 연마하는 단계
    를 포함하는, 기재의 화학 기계적 연마 방법.
  17. 열가소성 폴리우레탄 연마 층을 포함하는 화학 기계적 연마 패드로서,
    상기 열가소성 폴리우레탄 연마 층은 320% 이하의 인장 신율, 25℃에서 1200 MPa 이상의 저장 탄성률을 갖는, 화학 기계적 연마 패드.
  18. 열가소성 폴리우레탄 연마 층을 포함하는 화학 기계적 연마 패드로서,
    상기 열가소성 폴리우레탄 연마 층은 75 이상의 쇼어 D 경도, 320% 이하의 인장 신율을 갖는, 화학 기계적 연마 패드.
  19. 열가소성 폴리우레탄 연마 층을 포함하는 화학 기계적 연마 패드로서,
    상기 열가소성 폴리우레탄 연마 층은 70 이상의 쇼어 D 경도, 320% 이하의 인장 신율, 25℃에서 1000 MPa 이상의 저장 탄성률, 및 80℃에서 20 MPa 이하의 저장 탄성률을 갖는, 화학 기계적 연마 패드.
  20. 비-공극성 열가소성 폴리우레탄 연마 층을 포함하는 화학 기계적 연마 패드로서,
    상기 비-공극성 열가소성 폴리우레탄 연마 층은 100,000 g/mol 이하의 평균 분자량, 70 이상의 쇼어 D 경도, 320% 이하의 인장 신율, 25℃에서 1200 MPa 이상의 저장 탄성률, 및 80℃에서 15 MPa 이하의 저장 탄성률을 갖는, 화학 기계적 연마 패드.
KR1020187010199A 2015-09-25 2016-09-23 높은 탄성률 비를 갖는 폴리우레탄 화학 기계적 연마 패드 KR102640690B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562232837P 2015-09-25 2015-09-25
US62/232,837 2015-09-25
PCT/US2016/053283 WO2017053685A1 (en) 2015-09-25 2016-09-23 Polyurethane cmp pads having a high modulus ratio

Publications (2)

Publication Number Publication Date
KR20180049084A true KR20180049084A (ko) 2018-05-10
KR102640690B1 KR102640690B1 (ko) 2024-02-23

Family

ID=58387390

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187010199A KR102640690B1 (ko) 2015-09-25 2016-09-23 높은 탄성률 비를 갖는 폴리우레탄 화학 기계적 연마 패드

Country Status (7)

Country Link
US (1) US10562149B2 (ko)
EP (1) EP3352944B1 (ko)
JP (2) JP7066608B2 (ko)
KR (1) KR102640690B1 (ko)
CN (1) CN108025420B (ko)
TW (1) TWI618734B (ko)
WO (1) WO2017053685A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10464187B2 (en) 2017-12-01 2019-11-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High removal rate chemical mechanical polishing pads from amine initiated polyol containing curatives
CN114450127B (zh) * 2019-07-12 2024-05-28 Cmc材料有限责任公司 采用多胺及环己烷二甲醇固化剂的抛光垫
CN114536212B (zh) * 2022-01-29 2024-02-09 浙江环龙新材料科技有限公司 一种微孔热塑性聚氨酯抛光垫及其半连续制备方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010022571A (ko) * 1997-08-06 2001-03-26 콘래드 캐딩 개선된 연마용 패드 및 연마 방법
US20020155801A1 (en) * 1997-04-04 2002-10-24 Roberts John V.H. Polishing pads and methods relating thereto
KR20060023562A (ko) * 2003-06-17 2006-03-14 캐보트 마이크로일렉트로닉스 코포레이션 Cmp용 다층 연마 패드 재료
KR20080037719A (ko) * 2005-08-19 2008-04-30 캐보트 마이크로일렉트로닉스 코포레이션 표면-텍스쳐화 미공질 연마 패드
KR20090018009A (ko) * 2007-08-15 2009-02-19 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 개선된 화학적 기계적 연마 패드, 및 그의 제조 및 사용 방법
KR20090132607A (ko) * 2007-03-20 2009-12-30 가부시키가이샤 구라레 금속 막 연마용 패드 및 그것을 이용하는 금속 막의 연마 방법
KR20110097765A (ko) * 2008-12-26 2011-08-31 도요 고무 고교 가부시키가이샤 연마 패드 및 그 제조 방법
KR20130035225A (ko) * 2011-09-29 2013-04-08 다우 글로벌 테크놀로지스 엘엘씨 아크릴레이트 폴리우레탄 화학기계 연마 층
KR20140141492A (ko) * 2013-05-31 2014-12-10 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 연성이고 컨디셔닝가능한 연마층을 갖는 다층의 화학 기계적 연마 패드 적층물

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5489233A (en) 1994-04-08 1996-02-06 Rodel, Inc. Polishing pads and methods for their use
US5769691A (en) 1996-06-14 1998-06-23 Speedfam Corp Methods and apparatus for the chemical mechanical planarization of electronic devices
US6022268A (en) 1998-04-03 2000-02-08 Rodel Holdings Inc. Polishing pads and methods relating thereto
US6203407B1 (en) 1998-09-03 2001-03-20 Micron Technology, Inc. Method and apparatus for increasing-chemical-polishing selectivity
US6176763B1 (en) 1999-02-04 2001-01-23 Micron Technology, Inc. Method and apparatus for uniformly planarizing a microelectronic substrate
JP3762224B2 (ja) * 1999-04-07 2006-04-05 株式会社東芝 音声情報を含むデジタル情報の記憶媒体、この媒体を用いる記録方法と再生方法、およびこの媒体を用いる記録装置と再生装置
WO2001064396A1 (en) * 2000-02-28 2001-09-07 Rodel Holdings, Inc. Polishing pad surface texture formed by solid phase droplets
US6454634B1 (en) 2000-05-27 2002-09-24 Rodel Holdings Inc. Polishing pads for chemical mechanical planarization
US6706383B1 (en) * 2001-11-27 2004-03-16 Psiloquest, Inc. Polishing pad support that improves polishing performance and longevity
US6913517B2 (en) * 2002-05-23 2005-07-05 Cabot Microelectronics Corporation Microporous polishing pads
JP4475404B2 (ja) * 2004-10-14 2010-06-09 Jsr株式会社 研磨パッド
CN101678527B (zh) * 2007-03-20 2011-08-03 可乐丽股份有限公司 用于抛光垫的衬垫和使用该衬垫的抛光垫
US7458885B1 (en) 2007-08-15 2008-12-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad and methods of making and using same
US8257142B2 (en) * 2008-04-15 2012-09-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method
TWI444248B (zh) 2007-08-15 2014-07-11 羅門哈斯電子材料Cmp控股公司 化學機械研磨方法
US8491360B2 (en) * 2007-10-26 2013-07-23 Innopad, Inc. Three-dimensional network in CMP pad
JP5411862B2 (ja) * 2008-08-08 2014-02-12 株式会社クラレ 研磨パッド及び研磨パッドの製造方法
WO2010138724A1 (en) * 2009-05-27 2010-12-02 Rogers Corporation Polishing pad, polyurethane layer therefor, and method of polishing a silicon wafer
US9156124B2 (en) * 2010-07-08 2015-10-13 Nexplanar Corporation Soft polishing pad for polishing a semiconductor substrate
US8242523B2 (en) * 2010-07-29 2012-08-14 National Tsing Hua University III-Nitride light-emitting diode and method of producing the same
US9144880B2 (en) * 2012-11-01 2015-09-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Soft and conditionable chemical mechanical polishing pad
US9649742B2 (en) * 2013-01-22 2017-05-16 Nexplanar Corporation Polishing pad having polishing surface with continuous protrusions
KR20170068534A (ko) * 2014-10-09 2017-06-19 어플라이드 머티어리얼스, 인코포레이티드 내부 채널들을 갖는 화학 기계적 폴리싱 패드
US10875153B2 (en) * 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10391605B2 (en) * 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020155801A1 (en) * 1997-04-04 2002-10-24 Roberts John V.H. Polishing pads and methods relating thereto
KR20010022571A (ko) * 1997-08-06 2001-03-26 콘래드 캐딩 개선된 연마용 패드 및 연마 방법
KR20060023562A (ko) * 2003-06-17 2006-03-14 캐보트 마이크로일렉트로닉스 코포레이션 Cmp용 다층 연마 패드 재료
KR20080037719A (ko) * 2005-08-19 2008-04-30 캐보트 마이크로일렉트로닉스 코포레이션 표면-텍스쳐화 미공질 연마 패드
KR20090132607A (ko) * 2007-03-20 2009-12-30 가부시키가이샤 구라레 금속 막 연마용 패드 및 그것을 이용하는 금속 막의 연마 방법
KR20090018009A (ko) * 2007-08-15 2009-02-19 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 개선된 화학적 기계적 연마 패드, 및 그의 제조 및 사용 방법
KR20110097765A (ko) * 2008-12-26 2011-08-31 도요 고무 고교 가부시키가이샤 연마 패드 및 그 제조 방법
KR20130035225A (ko) * 2011-09-29 2013-04-08 다우 글로벌 테크놀로지스 엘엘씨 아크릴레이트 폴리우레탄 화학기계 연마 층
KR20140141492A (ko) * 2013-05-31 2014-12-10 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 연성이고 컨디셔닝가능한 연마층을 갖는 다층의 화학 기계적 연마 패드 적층물

Also Published As

Publication number Publication date
US10562149B2 (en) 2020-02-18
KR102640690B1 (ko) 2024-02-23
US20170087688A1 (en) 2017-03-30
CN108025420B (zh) 2020-10-27
EP3352944B1 (en) 2022-10-26
WO2017053685A1 (en) 2017-03-30
CN108025420A (zh) 2018-05-11
TW201716476A (zh) 2017-05-16
TWI618734B (zh) 2018-03-21
EP3352944A1 (en) 2018-08-01
JP2022051740A (ja) 2022-04-01
JP2018531157A (ja) 2018-10-25
EP3352944A4 (en) 2019-07-10
JP7066608B2 (ja) 2022-05-13

Similar Documents

Publication Publication Date Title
TWI589613B (zh) 聚胺酯硏磨墊
JP6693875B2 (ja) 独立気泡構造を有する超高空隙体積研磨パッド
TWI480123B (zh) 多功能研磨墊
US9951054B2 (en) CMP porous pad with particles in a polymeric matrix
JP2022051740A (ja) 高い弾性率比率を有するポリウレタンcmpパッド
US20210008687A1 (en) Polishing pad employing polyamine and cyclohexanedimethanol curatives
US20150059254A1 (en) Polyurethane polishing pad
TW202018056A (zh) 化學機械拋光墊及拋光方法
JP2022126751A (ja) ケミカルメカニカル研磨パッド
JP2018531157A6 (ja) 高い弾性率比率を有するポリウレタンcmpパッド
JP2008511181A (ja) 改善されたパッド除去速度および平坦化の研磨パッドおよび方法
JP2007181913A (ja) 研磨パッド
US20090017729A1 (en) Polishing pad and methods of improving pad removal rates and planarization
JP2006346805A (ja) 積層研磨パッド
JP2006346804A (ja) 積層研磨パッドの製造方法
TW202405062A (zh) 用於化學機械拋光之複合墊
TW202017696A (zh) 化學機械拋光墊及拋光方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant