KR20180038473A - 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 Download PDF

Info

Publication number
KR20180038473A
KR20180038473A KR1020187006121A KR20187006121A KR20180038473A KR 20180038473 A KR20180038473 A KR 20180038473A KR 1020187006121 A KR1020187006121 A KR 1020187006121A KR 20187006121 A KR20187006121 A KR 20187006121A KR 20180038473 A KR20180038473 A KR 20180038473A
Authority
KR
South Korea
Prior art keywords
film
gas
wafer
substrate
layer
Prior art date
Application number
KR1020187006121A
Other languages
English (en)
Other versions
KR102021708B1 (ko
Inventor
츠카사 가마쿠라
고에이 구리바야시
다이고 야마구치
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20180038473A publication Critical patent/KR20180038473A/ko
Application granted granted Critical
Publication of KR102021708B1 publication Critical patent/KR102021708B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

표면에 소정 원소, 산소 및 탄소를 포함하는 막이 형성된 기판을 준비하는 공정과, 막의 에칭이 발생하지 않는 조건 하에서 기판에 대하여 탄소 비함유의 불소계 가스를 공급함으로써, 적어도 막의 표면을 개질시키는 공정을 갖는다.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
본 발명은 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체에 관한 것이다.
반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판 상에 막을 형성하는 성막 처리가 행하여지는 경우가 있다.
본 발명의 목적은, 기판 상에 형성하는 막의 막질을 향상시키는 것이 가능한 기술을 제공하는 데 있다.
본 발명의 일 형태에 의하면,
표면에 소정 원소, 산소 및 탄소를 포함하는 막이 형성된 기판을 준비하는 공정과,
상기 막의 에칭이 발생하지 않는 조건 하에서 상기 기판에 대하여 탄소 비함유의 불소계 가스를 공급함으로써, 적어도 상기 막의 표면을 개질시키는 공정,
을 갖는 기술이 제공된다.
본 발명에 따르면, 기판 상에 형성하는 막의 막질을 향상시키는 것이 가능하게 된다.
도 1은 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 개략 구성도이며, 처리 로 부분을 종단면도로 도시하는 도면이다.
도 2는 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 종형 처리 로의 일부의 개략 구성도이며, 처리 로의 일부를 도 1의 A-A선 단면도로 도시하는 도면이다.
도 3은 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 컨트롤러의 개략 구성도이며, 컨트롤러의 제어계를 블록도로 도시하는 도면이다.
도 4의 (a)는 본 발명의 제1 실시 형태 성막 시퀀스를, (b)는 본 발명의 다른 실시 형태의 성막 시퀀스를 도시하는 도면이다.
도 5의 (a)는 본 발명의 제2 실시 형태의 성막 시퀀스를, (b)는 본 발명의 다른 실시 형태의 성막 시퀀스를 도시하는 도면이다.
도 6은 본 발명의 다른 실시 형태의 성막 시퀀스를 도시하는 도면이다.
도 7은 개질 처리를 행하기 전의 막의 XPS 평가 결과를 도시하는 도면이다.
도 8은 소정의 조건 하에서 개질 처리를 행한 후의 막의 XPS 평가 결과를 도시하는 도면이다.
도 9는 소정의 조건 하에서 개질 처리를 행한 후의 막의 XPS 평가 결과를 도시하는 도면이다.
도 10은 소정의 조건 하에서 개질 처리를 행한 후의 막의 XPS 평가 결과를 도시하는 도면이다.
도 11은 본 발명의 다른 실시 형태에서 적합하게 사용되는 기판 처리 장치의 처리 로의 개략 구성도이며, 처리 로 부분을 종단면도로 도시하는 도면이다.
도 12는 본 발명의 다른 실시 형태에서 적합하게 사용되는 기판 처리 장치의 처리 로의 개략 구성도이며, 처리 로 부분을 종단면도로 도시하는 도면이다.
<제1 실시 형태>
이하, 본 발명의 제1 실시 형태에 대해서, 도 1 내지 도 3을 사용해서 설명한다.
(1) 기판 처리 장치의 구성
도 1에 도시한 바와 같이, 처리 로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 유지판으로서의 히터 베이스(도시하지 않음)에 지지됨으로써 수직으로 설치되어 있다. 히터(207)는, 후술하는 바와 같이 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원 형상으로 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성되어 있다. 반응관(203)의 하방에는, 반응관(203)과 동심원 형상으로, 매니폴드(인렛 플랜지)(209)가 배치되어 있다. 매니폴드(209)는, 예를 들어 스테인리스(SUS) 등의 금속으로 이루어지고, 상단 및 하단이 개구된 원통 형상으로 형성되어 있다. 매니폴드(209)의 상단부는, 반응관(203)의 하단부에 걸림 결합하고 있어, 반응관(203)을 지지하도록 구성되어 있다. 매니폴드(209)와 반응관(203) 사이에는, 시일 부재로서의 O링(220a)이 설치되어 있다. 매니폴드(209)가 히터 베이스에 지지됨으로써, 반응관(203)은 수직으로 설치된 상태가 된다. 주로, 반응관(203)과 매니폴드(209)에 의해 처리 용기(반응 용기)가 구성되어 있다. 처리 용기의 통 중공부에는 처리실(201)이 형성되어 있다. 처리실(201)은, 복수매의 기판으로서의 웨이퍼(200)를, 후술하는 보트(217)에 의해 수평 자세로 수직 방향으로 다단으로 배열한 상태에서 수용 가능하게 구성되어 있다.
처리실(201) 내에는, 노즐(249a 내지 249c)이, 매니폴드(209)를 관통하도록 설치되어 있다. 노즐(249a 내지 249c)은, 예를 들어 석영 또는 SiC 등의 내열성 재료로 이루어진다. 노즐(249a 내지 249c)에는, 가스 공급관(232a 내지 232c)이 각각 접속되어 있다. 이와 같이, 처리 용기(매니폴드(209))에는, 3개의 노즐(249a 내지 249c)과, 3개의 가스 공급관(232a 내지 232c)이 설치되어 있어, 처리실(201) 내에 복수 종류의 가스를 공급하는 것이 가능하게 되어 있다.
가스 공급관(232a 내지 232c)에는, 상류 방향에서부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241a 내지 241c) 및 개폐 밸브인 밸브(243a 내지 243c)가 각각 설치되어 있다. 가스 공급관(232a 내지 232c)의 밸브(243a 내지 243c)보다도 하류측에는, 불활성 가스를 공급하는 가스 공급관(232d 내지 232f)이 각각 접속되어 있다. 가스 공급관(232d 내지 232f)에는, 상류 방향에서부터 순서대로, 유량 제어기(유량 제어부)인 MFC(241d 내지 241f) 및 개폐 밸브인 밸브(243d 내지 243f)가 각각 설치되어 있다.
가스 공급관(232a 내지 232c)의 선단부에는, 노즐(249a 내지 249c)이 각각 접속되어 있다. 노즐(249a 내지 249c)은, 도 2에 도시한 바와 같이, 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 평면으로 보아 원환 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 적재 방향 상방을 향해서 상승되도록 각각 설치되어 있다. 즉, 노즐(249a 내지 249c)은, 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 각각 설치되어 있다. 즉, 노즐(249a 내지 249c)은, 처리실(201) 내에 반입된 웨이퍼(200)의 단부(주연부)의 측방에 웨이퍼(200)의 표면(평탄면)과 수직으로 각각 설치되어 있다. 노즐(249a 내지 249c)은, L자형의 롱 노즐로서 각각 구성되어 있고, 그러한 각 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되어 있고, 그러한 각 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해서 상승되도록 설치되어 있다. 노즐(249a 내지 249c)의 측면에는, 가스를 공급하는 가스 공급 구멍(250a 내지 250c)이 각각 형성되어 있다. 가스 공급 구멍(250a 내지 250c)은, 반응관(203)의 중심을 향하도록 각각 개구되어 있어, 웨이퍼(200)를 향해서 가스를 공급하는 것이 가능하게 되어 있다. 가스 공급 구멍(250a 내지 250c) 각각은, 반응관(203)의 하부로부터 상부에 걸쳐 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
이와 같이, 본 실시 형태에서는, 반응관(203)의 내벽과, 적재된 복수매의 웨이퍼(200)의 단부로 정의되는 평면으로 보아 원환 형상의 세로로 긴 공간 내, 즉, 원통 형상의 공간 내에 배치한 노즐(249a 내지 249c)을 경유해서 가스를 반송하고 있다. 그리고, 노즐(249a 내지 249c)에 각각 개구된 가스 공급 구멍(250a 내지 250c)으로부터, 웨이퍼(200)의 근방에서 처음으로 반응관(203) 내에 가스를 분출시키고 있다. 그리고, 반응관(203) 내에서의 가스의 주된 흐름을, 웨이퍼(200)의 표면과 평행한 방향, 즉, 수평 방향으로 하고 있다. 이러한 구성으로 함으로써, 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있어, 각 웨이퍼(200)에 형성되는 막의 막 두께 균일성을 향상시키는 것이 가능하게 된다. 웨이퍼(200)의 표면 상을 흐른 가스, 즉, 반응 후의 잔류 가스는, 배기구, 즉, 후술하는 배기관(231)의 방향을 향해서 흐른다. 단, 이 잔류 가스의 흐름의 방향은, 배기구의 위치에 따라 적절히 특정되며, 수직 방향에 한한 것은 아니다.
가스 공급관(232a)으로부터는, 소정 원소를 포함하는 원료 가스로서, 예를 들어 소정 원소로서의 Si 및 할로겐 원소를 포함하는 할로실란 원료 가스가, MFC(241a), 밸브(243a), 노즐(249a)을 통해서 처리실(201) 내에 공급된다.
원료 가스란, 기체 상태의 원료, 예를 들어 상온 상압 하에서 액체 상태인 액체 원료를 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 기체 원료 등이다. 할로실란 원료란, 할로겐기를 갖는 실란 원료이다. 할로겐기에는, 클로로기, 플루오로기, 브로모기, 요오드기 등이 포함된다. 즉, 할로겐기에는, 염소(Cl), 불소(F), 브롬(Br), 요오드(I) 등의 할로겐 원소가 포함된다. 할로실란 원료는, 할로겐화물의 일종이라고도 할 수 있다. 본 명세서에서 「원료」라는 말을 사용한 경우에는, 「액체 상태인 원료」를 의미하는 경우, 「기체 상태인 원료(원료 가스)」를 의미하는 경우, 또는 그들 양쪽을 의미하는 경우가 있다.
할로실란 원료 가스로서는, 예를 들어 Si, Cl 및 알킬렌기를 포함하고, Si-C 결합을 갖는 원료 가스, 즉, 유기계의 클로로실란 원료 가스인 알킬렌클로로실란 원료 가스를 사용할 수 있다. 알킬렌기에는, 메틸렌기, 에틸렌기, 프로필렌기, 부틸렌기 등이 포함된다. 알킬렌클로로실란 원료 가스를, 알킬렌할로실란 원료 가스라고 칭할 수도 있다. 알킬렌클로로실란 원료 가스로서는, 예를 들어 비스(트리클로로실릴)메탄((SiCl3)2CH2, 약칭: BTCSM) 가스, 에틸렌비스(트리클로로실란) 가스, 즉, 1,2-비스(트리클로로실릴)에탄((SiCl3)2C2H4, 약칭: BTCSE) 가스 등을 사용할 수 있다. 이들 가스는, 1 분자 중에 적어도 2개의 Si를 포함하고, 또한 C 및 Cl을 포함하고, Si-C 결합을 갖는 원료 가스라고도 할 수 있다. 이들 가스는, 후술하는 성막 처리에서, Si 소스로서도 작용하고, C 소스로서도 작용한다.
또한, 할로실란 원료 가스로서는, 예를 들어 Si, Cl 및 알킬기를 포함하고, Si-C 결합을 갖는 원료 가스, 즉, 유기계의 클로로실란 원료 가스인 알킬클로로실란 원료 가스를 사용할 수도 있다. 알킬기에는, 메틸기, 에틸기, 프로필기, 부틸기 등이 포함된다. 알킬클로로실란 원료 가스를, 알킬할로실란 원료 가스라고 칭할 수도 있다. 알킬클로로실란 원료 가스로서는, 예를 들어 1,1,2,2-테트라클로로-1,2-디메틸디실란((CH3)2Si2Cl4, 약칭: TCDMDS) 가스, 1,2-디클로로-1,1,2,2-테트라메틸디실란((CH3)4Si2Cl2, 약칭: DCTMDS) 가스, 1-모노클로로-1,1,2,2,2-펜타메틸디실란((CH3)5Si2Cl, 약칭: MCPMDS) 가스 등을 사용할 수 있다. 이들 가스는, 1 분자 중에 적어도 2개의 Si를 포함하고, 또한 C 및 Cl을 포함하고, Si-C 결합을 갖는 원료 가스라고도 할 수 있다. 또한, 이들 가스는 또한 Si-Si 결합도 갖는다. 이들 가스는, 후술하는 성막 처리에서, Si 소스로서도 작용하고, C 소스로서도 작용한다.
또한, 할로실란 원료 가스로서는, 예를 들어 Si 및 Cl을 포함하는 C 비함유의 원료 가스, 즉, 무기계의 클로로실란 원료 가스를 사용할 수도 있다. 무기계의 클로로실란 원료 가스로서는, 예를 들어 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스나, 옥타클로로트리실란(Si3Cl8, 약칭: OCTS) 가스 등을 사용할 수 있다. 이들 가스는, 1 분자 중에 적어도 2개의 Si를 포함하고, 또한 Cl을 포함하고, Si-Si 결합을 갖는 원료 가스라고도 할 수 있다. 이들 가스는, 후술하는 성막 처리에서, Si 소스로서 작용한다.
BTCSM이나 TCDMDS나 HCDS 등과 같이 상온 상압 하에서 액체 상태인 액체 원료를 사용하는 경우에는, 액체 상태의 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 원료 가스로서 공급하게 된다.
가스 공급관(232b)으로부터는, 원료 가스와는 화학 구조가 상이한 반응 가스(반응체)로서, OH기(히드록시기)를 포함하는 가스가, MFC(241b), 밸브(243b), 노즐(249b)을 통해서 처리실(201) 내에 공급된다. OH기를 포함하는 가스는, 후술하는 성막 처리에서, 산화제(산화 가스), 즉, O 소스로서 작용한다. OH기를 포함하는 가스로서는, 예를 들어 수증기(H2O 가스)를 사용할 수 있다. 예를 들어, 역침투막을 사용해서 불순물을 제거한 RO(Reverse Osmosis)수나, 탈이온 처리를 실시함으로써 불순물을 제거한 탈이온수나, 증류기를 사용해서 증류함으로써 불순물을 제거한 증류수 등의 순수(또는 초순수)를 기화기나 버블러나 보일러 등의 기화 시스템에 의해 기화하여, OH기를 포함하는 가스(H2O 가스)로서 공급하게 된다.
가스 공급관(232c)으로부터는, 상술한 원료 가스나 반응 가스에 의한 성막 반응을 촉진시키는 촉매 가스가, MFC(241c), 밸브(243c), 노즐(249c)을 통해서 처리실(201) 내에 공급된다. 촉매 가스로서는, 예를 들어 C, N 및 H를 포함하는 아민계 가스를 사용할 수 있다.
아민계 가스란, 암모니아(NH3)의 H 중 적어도 하나를 알킬기 등의 탄화수소기로 치환한 아민을 포함하는 가스이다. 고립 전자쌍을 갖는 N을 포함하고, 산해리 상수(이하, pKa라고도 함)가 예를 들어 5 내지 11 정도인 아민을, 촉매로서 적합하게 사용할 수 있다. 산해리 상수(pKa)란, 산의 강도를 정량적으로 나타내는 지표의 하나이며, 산으로부터 H 이온이 방출되는 해리 반응에서의 평형 상수 Ka를 음의 상용로그로 나타낸 것이다. 아민계 가스로서는, 탄화수소기가 환상으로 된 환상 아민계 가스나, 탄화수소기가 쇄상으로 된 쇄상 아민계 가스를 사용할 수 있다.
환상 아민계 가스로서는, 예를 들어 피리딘(C5H5N, pKa=5.67) 가스, 아미노피리딘(C5H6N2, pKa=6.89) 가스, 피콜린(C6H7N, pKa=6.07) 가스, 루티딘(C7H9N, pKa=6.96) 가스, 피페라진(C4H10N2, pKa=9.80) 가스, 피페리딘(C5H11N, pKa=11.12) 가스 등을 사용할 수 있다. 환상 아민계 가스는, C와 N의 복수 종류의 원소로부터 그 환상 구조가 구성되는 복소환 화합물(복소환식 화합물), 즉, 질소 함유 복소환 화합물이라고도 할 수 있다.
쇄상 아민계 가스로서는, 예를 들어 트리에틸아민((C2H5)3N, 약칭: TEA, pKa=10.7) 가스, 디에틸아민((C2H5)2NH), 약칭: DEA, pKa=10.9) 가스, 모노에틸아민((C2H5)NH2, 약칭: MEA, pKa=10.6) 가스, 트리메틸아민((CH3)3N, 약칭: TMA, pKa=9.8) 가스, 모노메틸아민((CH3)NH2, 약칭: MMA, pKa=10.6) 가스 등을 사용할 수 있다.
촉매로서 작용하는 아민계 가스를, 아민계 촉매 또는 아민계 촉매 가스라고 칭할 수도 있다. 촉매 가스로서는, 상술한 아민계 가스 외에, 비아민계 가스, 예를 들어 암모니아(NH3, pKa=9.2) 가스 등도 사용할 수 있다.
또한, 여기에서 예시한 촉매는, 후술하는 성막 처리에서, 분자 구조의 일부가 분해되는 경우도 있다. 이러한, 화학 반응의 전후에서 그 일부가 변화하는 물질은, 엄밀하게는 「촉매」가 아니다. 그러나, 본 명세서에서는, 화학 반응의 과정에서 그 일부가 분해되는 경우에도, 대부분은 분해되지 않고, 또한 반응의 속도를 변화시켜, 실질적으로 촉매로서 작용하는 물질을, 「촉매」라고 칭하기로 하고 있다.
가스 공급관(232a)으로부터는, 개질 가스로서의 불소(F)계 가스가, MFC(241a), 밸브(243a), 노즐(249a)을 통해서 처리실(201) 내에 공급된다. 불소계 가스는, 후술하는 개질 처리의 조건을 적정하게 제어함으로써, 불화 가스, 즉, F 소스로서 작용한다. 불소계 가스로서는, 예를 들어 불화질소(NF3) 가스나 불소(F2) 가스를 사용할 수 있다. NF3 가스나 F2 가스는, C 비함유의 F 함유 가스이다.
가스 공급관(232d 내지 232f)으로부터는, 불활성 가스로서, 예를 들어 질소(N2) 가스가, 각각 MFC(241d 내지 241f), 밸브(243d 내지 243f), 가스 공급관(232a 내지 232c), 노즐(249a 내지 249c)을 통해서 처리실(201) 내에 공급된다.
가스 공급관(232a)으로부터 상술한 원료 가스를 공급하는 경우, 주로, 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해 원료 가스 공급계가 구성된다. 노즐(249a)을 원료 가스 공급계에 포함해서 생각해도 된다. 원료 가스 공급계를 원료 공급계라고 칭할 수도 있다. 가스 공급관(232a)으로부터 할로실란 원료 가스를 공급하는 경우, 원료 가스 공급계를, 할로실란 원료 가스 공급계 또는 할로실란 원료 공급계라고 칭할 수도 있다.
가스 공급관(232b)으로부터 상술한 반응 가스를 공급하는 경우, 주로, 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해 반응 가스 공급계가 구성된다. 노즐(249b)을 반응 가스 공급계에 포함해서 생각해도 된다. 반응 가스 공급계를 반응체 공급계라고 칭할 수도 있다. 가스 공급관(232b)으로부터 OH기를 포함하는 가스를 공급하는 경우, 반응 가스 공급계를, OH기 함유 가스 공급계, O 함유 가스 공급계, 산화제 공급계 또는 산화 가스 공급계라고 칭할 수도 있다.
가스 공급관(232c)으로부터 상술한 촉매 가스를 공급하는 경우, 주로, 가스 공급관(232c), MFC(241c), 밸브(243c)에 의해 촉매 가스 공급계가 구성된다. 노즐(249c)을 촉매 가스 공급계에 포함해서 생각해도 된다. 촉매 가스 공급계를 촉매 공급계라고 칭할 수도 있다. 가스 공급관(232c)로부터 아민계 가스를 공급하는 경우, 촉매 가스 공급계를, 아민계 촉매 가스 공급계, 아민계 가스 공급계 또는 아민 공급계라고 칭할 수도 있다.
가스 공급관(232a)으로부터 상술한 개질 가스를 공급하는 경우, 주로, 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해 개질 가스 공급계가 구성된다. 노즐(249a)을 개질 가스 공급계에 포함해서 생각해도 된다. 가스 공급관(232a)으로부터 상술한 불소계 가스를 공급하는 경우, 개질 가스 공급계를, 불소계 가스 공급계, 불화 가스 공급계 또는 F 함유 가스 공급계라고 칭할 수도 있다.
또한, 주로, 가스 공급관(232d 내지 232f), MFC(241d 내지 241f), 밸브(243d 내지 243f)에 의해 불활성 가스 공급계가 구성된다.
반응관(203)에는, 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치되어 있다. 배기관(231)에는, 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 통해서, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. APC 밸브(244)는, 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한, 진공 펌프(246)를 작동시킨 상태에서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있는 밸브이다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 진공 펌프(246)를 배기계에 포함해서 생각해도 된다.
매니폴드(209)의 하방에는, 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은, 매니폴드(209)의 하단에 수직 방향 하측으로부터 맞닿아지게 구성되어 있다. 시일 캡(219)은, 예를 들어 SUS 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 상면에는, 매니폴드(209)의 하단과 맞닿는 시일 부재로서의 O링(220b)이 설치되어 있다. 시일 캡(219)의 처리실(201)과 반대측에는, 후술하는 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은 시일 캡(219)을 관통해서 보트(217)에 접속되어 있다. 회전 기구(267)는 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은, 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는 시일 캡(219)을 승강시킴으로써, 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하게 구성되어 있다. 보트 엘리베이터(115)는, 보트(217), 즉 웨이퍼(200)를, 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성되어 있다. 또한, 매니폴드(209)의 하방에는, 보트 엘리베이터(115)에 의해 시일 캡(219)을 강하시키고 있는 동안에, 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개로서의 셔터(219s)가 설치되어 있다. 셔터(219s)는, 예를 들어 SUS 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 셔터(219s)의 상면에는, 매니폴드(209)의 하단과 맞닿는 시일 부재로서의 O링(220c)이 설치되어 있다. 셔터(219s)의 개폐 동작(승강 동작이나 회동 동작 등)은 셔터 개폐 기구(115s)에 의해 제어된다.
기판 지지구로서의 보트(217)는, 복수매, 예를 들어 25 내지 200매의 웨이퍼(200)를, 수평 자세이면서 또한 서로 중심을 맞춘 상태에서 수직 방향으로 정렬시켜 다단으로 지지하도록, 즉, 간격을 두고 배열시키도록 구성되어 있다. 보트(217)는, 예를 들어 석영이나 SiC 등의 내열성 재료로 이루어진다. 보트(217)의 하부에는, 예를 들어 석영이나 SiC 등의 내열성 재료로 이루어지는 단열판(218)이 다단으로 지지되어 있다. 이 구성에 의해, 히터(207)로부터의 열이 시일 캡(219)측에 전해지기 어렵게 되어 있다. 단, 본 실시 형태는 상술한 형태에 한정되지 않는다. 예를 들어, 보트(217)의 하부에 단열판(218)을 설치하지 않고, 석영이나 SiC 등의 내열성 재료로 이루어지는 통 형상의 부재로서 구성된 단열 통을 설치해도 된다.
반응관(203) 내에는, 온도 검출기로서의 온도 센서(263)가 설치되어 있다. 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에의 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포가 된다. 온도 센서(263)는, 노즐(249a 내지 249c)과 마찬가지로 L자형으로 구성되어 있고, 반응관(203)의 내벽을 따라 설치되어 있다.
도 3에 도시한 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는, CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 통해서 CPU(121a)와 데이터 교환 가능하게 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하게 저장되어 있다. 프로세스 레시피는, 후술하는 기판 처리 공정에서의 각 수순을 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있게 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여, 간단히 프로그램이라고도 한다. 또한, 프로세스 레시피를 간단히 레시피라고도 한다. 본 명세서에서 프로그램이라는 말을 사용한 경우에는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그들 양쪽을 포함하는 경우가 있다. RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에리어)으로서 구성되어 있다.
I/O 포트(121d)는, 상술한 MFC(241a 내지 241f), 밸브(243a 내지 243f), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 회전 기구(267), 보트 엘리베이터(115), 셔터 개폐 기구(115s) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터 제어 프로그램을 판독해서 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. CPU(121a)는, 판독한 프로세스 레시피의 내용에 따르도록, MFC(241a 내지 241f)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243f)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작, 셔터 개폐 기구(115s)에 의한 셔터(219s)의 개폐 동작 등을 제어하도록 구성되어 있다.
컨트롤러(121)는, 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(123)에 저장된 상술한 프로그램을, 컴퓨터에 인스톨함으로써 구성할 수 있다. 기억 장치(121c)나 외부 기억 장치(123)는, 컴퓨터 판독 가능한 기록 매체로서 구성되어 있다. 이하, 이들을 총칭하여, 간단히 기록 매체라고도 한다. 본 명세서에서 기록 매체라는 말을 사용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그들 양쪽을 포함하는 경우가 있다. 또한, 컴퓨터에의 프로그램의 제공은, 외부 기억 장치(123)를 사용하지 않고, 인터넷이나 전용 회선 등의 통신 수단을 사용해서 행해도 된다.
(2) 기판 처리 공정
상술한 기판 처리 장치를 사용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판 상에 막을 형성하는 시퀀스 예에 대해서, 도 4의 (a)를 사용해서 설명한다. 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
도 4의 (a)에 나타내는 성막 시퀀스에서는, 웨이퍼(200) 상에 막을 형성하는 성막 스텝과, 웨이퍼(200) 상에 형성한 막을 개질시키는 개질 스텝을 행한다.
도 4의 (a)에 나타내는 성막 스텝에서는,
웨이퍼(200)에 대하여, 원료 가스로서의 BTCSM 가스와, 촉매 가스로서의 피리딘 가스를 공급하는 스텝 1과,
웨이퍼(200)에 대하여, 반응 가스로서의 H2O 가스와, 촉매 가스로서의 피리딘 가스를 공급하는 스텝 2,
를 비동시에, 즉, 동기시키지 않고 교대로 행하는 사이클을 소정 횟수(1회 이상) 행함으로써, 웨이퍼(200) 상에, Si, O 및 C를 포함하는 막으로서, 실리콘 산 탄화막(SiOC막)을 형성한다.
도 4의 (a)에 나타내는 개질 스텝에서는,
웨이퍼(200) 상에 형성한 SiOC막의 에칭이 발생하지 않는 조건 하에서, 웨이퍼(200)에 대하여 C 비함유의 불소계 가스로서 NF3 가스를 공급함으로써, 적어도 SiOC막의 표면을 개질시킨다. 또한, 도 4의 (a)에 나타내는 개질 스텝에서는, 웨이퍼(200) 상에 형성된 SiOC막 중의 전역에 F를 첨가(도핑)하여, SiOC막 전체를, Si, O, C 및 F를 포함하는 막, 즉, 실리콘 산탄불화막(SiOCF막)으로 개질시키는 예를 나타내고 있다. SiOCF막을, F 함유 SiOC막이나, F가 첨가(도핑)된 SiOC막이라고 칭할 수도 있다.
본 명세서에서는, 도 4의 (a)에 나타내는 일련의 시퀀스를, 편의상, 이하와 같이 나타내는 경우도 있다. 이하에 나타내는 다른 실시 형태의 설명에서도, 마찬가지의 표기를 사용하기로 한다.
(BTCSM+피리딘→H2O+피리딘)×n→NF3⇒ SiOCF
본 명세서에서 「웨이퍼」라는 말을 사용한 경우에는, 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과의 적층체(집합체)」를 의미하는 경우, 즉, 표면에 형성된 소정의 층이나 막 등을 포함해서 웨이퍼라 칭하는 경우가 있다. 또한, 본 명세서에서 「웨이퍼의 표면」이라는 말을 사용한 경우에는, 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉, 적층체로서의 웨이퍼의 최표면」을 의미하는 경우가 있다.
따라서, 본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」라고 기재했을 경우에는, 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되어 있는 층이나 막 등에 대하여, 즉, 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한, 본 명세서에서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」라고 기재했을 경우에는, 「웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되어 있는 층이나 막 등의 위, 즉, 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
또한, 본 명세서에서 「기판」이라는 말을 사용한 경우도, 「웨이퍼」라는 말을 사용한 경우와 동의이다.
(반입 스텝)
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 셔터 개폐 기구(115s)에 의해 셔터(219s)가 이동되고, 매니폴드(209)의 하단 개구가 개방된다(셔터 오픈). 그 후, 도 1에 도시한 바와 같이, 복수매의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은, O링(220b)을 통해서 매니폴드(209)의 하단을 시일한 상태가 된다.
(압력·온도 조정 스텝)
처리실(201) 내, 즉, 웨이퍼(200)가 존재하는 공간이 원하는 압력(진공도)으로 되도록, 진공 펌프(246)에 의해 진공 배기(감압 배기)된다. 이때, 처리실(201) 내의 압력은 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다. 진공 펌프(246)는, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안에는 상시 작동시킨 상태를 유지한다. 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)에의 통전 상태가 피드백 제어된다. 히터(207)에 의한 처리실(201) 내의 가열은, 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안에는 계속해서 행하여진다. 또한, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 개시한다. 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은, 적어도, 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안에는 계속해서 행하여진다.
(성막 스텝)
그 후, 후술하는 2개의 스텝, 즉, 스텝 1, 2를 순차 실행한다.
[스텝 1]
이 스텝에서는, 처리실(201) 내의 웨이퍼(200)에 대하여 BTCSM 가스와 피리딘 가스를 공급한다.
밸브(243a, 243c)를 개방하여, 가스 공급관(232a, 232c) 내에 BTCSM 가스, 피리딘 가스를 각각 흘린다. BTCSM 가스, 피리딘 가스는, 각각 MFC(241a, 241c)에 의해 유량 조정되어, 노즐(249a, 249c)을 통해서 처리실(201) 내에 공급되고, 처리실(201) 내에 공급된 후에 혼합(Post-mix)되어, 배기관(231)으로부터 배기된다. 이때, 동시에 밸브(243d, 243f)를 개방하여, 가스 공급관(232d, 232f) 내에 N2 가스를 흘린다. 가스 공급관(232d, 232f) 내를 흐른 N2 가스는, 각각 MFC(241d, 241f)에 의해 유량 조정되어, BTCSM 가스, 피리딘 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 또한, 노즐(249b) 내에의 BTCSM 가스, 피리딘 가스의 침입을 방지하기 위해서, 밸브(243e)를 개방하여, 가스 공급관(232e) 내에 N2 가스를 흘린다. N2 가스는, 가스 공급관(232b), 노즐(249b)을 통해서 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 3000Pa, 바람직하게는 133 내지 2666Pa의 범위 내의 압력으로 한다. MFC(241a)로 제어하는 BTCSM 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241c)로 제어하는 피리딘 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241d 내지 241f)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. BTCSM 가스 및 피리딘 가스를 웨이퍼(200)에 대하여 공급하는 시간은, 예를 들어 1 내지 100초, 바람직하게는 5 내지 60초의 범위 내의 시간으로 한다.
이때, 히터(207)의 온도는, 처리실(201) 내의 온도, 즉, 웨이퍼(200)의 온도가, 예를 들어 10℃ 이상 90℃ 이하, 바람직하게는 실온(25℃) 이상 70℃ 이하, 보다 바람직하게는 50℃ 이상 70℃ 이하의 범위 내의 온도(성막 온도)가 되는 온도로 설정한다.
처리실(201) 내의 압력을 상술한 범위 내의 소정의 압력(예를 들어 1333Pa)으로 했을 때, 성막 스텝에서의 웨이퍼(200)의 온도가 10℃ 미만이 되면, 처리실(201) 내에 공급되는 가스(스텝 1, 2에서 공급하는 BTCSM 가스, H2O 가스, 피리딘 가스 중 적어도 어느 것)가 응집되기 쉬워져, 이들 가스가 액화되는 경우가 있다. 결과로서, 웨이퍼(200) 상에 형성되는 막의 불화수소(HF) 등에 대한 에칭 내성(HF 내성, 내산성이라고도 함)이 저하되거나, 이 막의 면내 막 두께 균일성이나 면내 막질 균일성이 저하되거나 하는 경우가 있다. 성막 스텝에서의 웨이퍼(200)의 온도를 10℃ 이상으로 함으로써 이것을 해결하는 것이 가능하게 된다. 성막 스텝에서의 웨이퍼(200)의 온도를 실온 이상으로 함으로써, 처리실(201) 내에 공급된 가스의 응집 반응을 억제하기 쉬워진다. 결과로서, 웨이퍼(200) 상에 형성되는 막의 에칭 내성을 높이고, 또한 이 막의 면내 막 두께 균일성이나 면내 막질 균일성을 향상시키는 것이 가능하게 된다. 성막 스텝에서의 웨이퍼(200)의 온도를 50℃ 이상으로 함으로써, 처리실(201) 내에 공급되는 가스의 응집 반응을 확실하게 억제할 수 있고, 웨이퍼(200) 상에 형성되는 막의 에칭 내성을 더 높이고, 또한 이 막의 면내 막 두께 균일성이나 면내 막질 균일성을 더욱 향상시키는 것이 가능하게 된다.
또한, 처리실(201) 내의 압력을 상술한 범위 내의 소정의 압력(예를 들어 1333Pa)으로 했을 때, 성막 스텝에서의 웨이퍼(200)의 온도가 90℃를 초과하면, 웨이퍼(200) 상에의 성막 반응(스텝 1, 2에서의 후술하는 제1층, 제2층의 형성 반응)이 진행되기 어려워져, 1 사이클당 형성되는 층의 두께가 감소(사이클 레이트가 저하)하는 경우가 있다. 결과로서, 웨이퍼(200) 상에 형성하는 막의 성막 레이트가 저하되는 경우가 있다. 성막 스텝에서의 웨이퍼(200)의 온도를 90℃ 이하로 함으로써, 이것을 해결하는 것이 가능하게 된다. 성막 스텝에서의 웨이퍼(200)의 온도를 70℃ 이하로 함으로써, 실용 레벨의 사이클 레이트, 즉, 실용 레벨의 성막 레이트를 확실하게 확보(유지)하는 것이 가능하게 된다.
따라서, 성막 스텝에서의 처리실(201) 내의 온도, 즉, 웨이퍼(200)의 온도(성막 온도)는 10℃ 이상 90℃ 이하, 바람직하게는 실온 이상 70℃ 이하, 보다 바람직하게는 50℃ 이상 70℃ 이하의 범위 내의 온도로 하는 것이 좋다.
상술한 조건 하에서 웨이퍼(200)에 대하여 BTCSM 가스를 공급함으로써, 웨이퍼(200)(표면의 하지막) 상에 제1층으로서, 예를 들어 1 원자층 미만 내지 수 원자층 정도의 두께의 C 및 Cl을 포함하는 Si 함유층이 형성된다. C 및 Cl을 포함하는 Si 함유층은, Si-C 결합을 포함하는 층이 된다. C 및 Cl을 포함하는 Si 함유층은, C 및 Cl을 포함하는 Si층이어도 되고, BTCSM의 흡착층이어도 되고, 그들의 양쪽을 포함하고 있어도 된다. 또한, 본 명세서에서는, C 및 Cl을 포함하는 Si 함유층을, 편의상, 간단히 C를 포함하는 Si 함유층이라고 칭하기도 한다.
C 및 Cl을 포함하는 Si층이란, Si에 의해 구성되며 C 및 Cl을 포함하는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐서 생긴 C 및 Cl을 포함하는 Si 박막도 포함하는 총칭이다. Si에 의해 구성되며 C 및 Cl을 포함하는 연속적인 층을, C 및 Cl을 포함하는 Si 박막이라고 하는 경우도 있다. C 및 Cl을 포함하는 Si층을 구성하는 Si는, C나 Cl과의 결합이 완전히 끊어져 있지 않은 것 외에, C나 Cl과의 결합이 완전히 끊어져 있는 것도 포함한다.
BTCSM의 흡착층은, BTCSM 분자로 구성되는 연속적인 흡착층 외에, 불연속인 흡착층도 포함한다. 즉, BTCSM의 흡착층은, BTCSM 분자로 구성되는 1 분자층 또는 1 분자층 미만의 두께의 흡착층을 포함한다. BTCSM의 흡착층을 구성하는 BTCSM 분자는, Si와 C와의 결합이 일부 끊어진 것이나, Si와 Cl과의 결합이 일부 끊어진 것도 포함한다. 즉, BTCSM의 흡착층은, BTCSM의 물리 흡착층이어도 되고, BTCSM의 화학 흡착층이어도 되고, 그들의 양쪽을 포함하고 있어도 된다.
여기서, 1 원자층 미만의 두께의 층이란 불연속으로 형성되는 원자층을 의미하고 있고, 1 원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미하고 있다. 1 분자층 미만의 두께의 층이란 불연속으로 형성되는 분자층을 의미하고 있고, 1 분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미하고 있다. C 및 Cl을 포함하는 Si 함유층은, C 및 Cl을 포함하는 Si층과 BTCSM의 흡착층과의 양쪽을 포함할 수 있다. 단, 상술한 바와 같이, C 및 Cl을 포함하는 Si 함유층에 대해서는 「1 원자층」, 「수 원자층」 등의 표현을 사용하기로 한다.
웨이퍼(200) 상에 형성되는 제1층의 두께가 수 원자층을 초과하면, 후술하는 스텝 2에서의 산화 작용이 제1층의 전체에 미치지 않게 된다. 또한, 웨이퍼(200) 상에 형성 가능한 제1층의 두께의 최솟값은 1 원자층 미만이다. 따라서, 제1층의 두께는 1 원자층 미만 내지 수 원자층 정도로 하는 것이 바람직하다. 제1층의 두께를 1 원자층 이하, 즉, 1 원자층 또는 1 원자층 미만으로 함으로써, 후술하는 스텝 2에서의 산화 반응의 작용을 상대적으로 높일 수 있고, 스텝 2에서의 산화 반응에 요하는 시간을 단축할 수도 있다. 스텝 1에서의 제1층의 형성에 요하는 시간을 단축할 수도 있다. 결과로서, 1 사이클당 처리 시간을 단축할 수 있어, 전체적인 처리 시간을 단축하는 것도 가능하게 된다. 즉, 성막 레이트를 높게 하는 것도 가능하게 된다. 또한, 제1층의 두께를 1 원자층 이하로 함으로써, 막 두께 균일성의 제어성을 높이는 것도 가능하게 된다.
BTCSM 가스가 자기 분해(열분해)되는 조건 하에서는, 웨이퍼(200) 상에 Si가 퇴적됨으로써 C 및 Cl을 포함하는 Si층이 형성된다. BTCSM 가스가 자기 분해(열분해)되지 않는 조건 하에서는, 웨이퍼(200) 상에 BTCSM이 흡착됨으로써 BTCSM의 흡착층이 형성된다. 어느 조건 하에서든, BTCSM 가스에서의 Si-C 결합의 적어도 일부는 절단되지 않고 유지되어, C 및 Cl을 포함하는 Si 함유층 중에 그대로 도입되게 된다. 웨이퍼(200) 상에 BTCSM의 흡착층을 형성하는 것보다도, 웨이퍼(200) 상에 C 및 Cl을 포함하는 Si층을 형성하는 것이, 성막 레이트를 높게 할 수 있어 바람직하다. 단, 본 실시 형태에서는, 웨이퍼(200)의 온도를 예를 들어 90℃ 이하의 저온으로 하고 있으므로, 웨이퍼(200) 상에는, C 및 Cl을 포함하는 Si층이 아니라, BTCSM의 흡착층 쪽이 형성되기 쉬워진다. 또한, BTCSM 가스와 함께 피리딘 가스를 공급하지 않는 경우에는, BTCSM의 흡착층은, BTCSM의 화학 흡착층이 아니라, BTCSM의 물리 흡착층에 의해 구성되기 쉬워진다.
피리딘 가스는, 웨이퍼(200)의 표면에 존재하는 O-H 결합의 결합력을 약화시켜, BTCSM의 분해를 촉진하고, BTCSM 분자의 화학 흡착에 의한 제1층의 형성을 촉진시키는 촉매 가스로서 작용한다. 즉, 웨이퍼(200)에 대하여 피리딘 가스를 공급하면, 피리딘 가스는, 웨이퍼(200)의 표면에 존재하는 O-H 결합에 작용하여, 그 결합력을 약화시키도록 작용한다. 결합력이 약해진 H와, BTCSM 가스의 Cl이 반응함으로써, Cl, H를 포함하는 가스 상태 물질이 생성되어, 웨이퍼(200)의 표면으로부터 H가 탈리함과 함께, BTCSM 분자로부터 Cl이 탈리하게 된다. Cl을 상실한 BTCSM 분자(할로겐화물)는 웨이퍼(200) 등의 표면에 화학 흡착된다. 이에 의해, 웨이퍼(200) 상에 제1층으로서, BTCSM의 화학 흡착층이 형성되게 된다.
피리딘 가스의 촉매 작용에 의해, 웨이퍼(200)의 표면에 존재하는 O-H 결합의 결합력이 약화되는 것은, 피리딘 분자 중의 고립 전자쌍을 갖는 N이, H를 끌어당기도록 작용하기 때문이다. pKa가 큰 화합물은, H를 끌어당기는 힘이 강해진다. pKa가 5 이상인 화합물을 촉매 가스로서 사용함으로써 BTCSM의 분해를 촉진하여, 화학 흡착에 의한 제1층의 형성을 촉진시키는 것이 가능하게 된다. 단, pKa가 과도하게 큰 화합물을 촉매 가스로서 사용하면, BTCSM 분자로부터 뽑은 Cl과 촉매 가스가 반응하고, 이에 의해, 염화암모늄(NH4Cl) 등의 염(파티클원)이 발생하는 경우가 있다. 그 때문에, pKa가 예를 들어 11 이하, 바람직하게는 7 이하인 화합물을 촉매 가스로서 사용하는 것이 바람직하다. 피리딘 가스는, pKa가 약 5.67로 비교적 크고, 또한 7 이하이므로, 촉매 가스로서 적합하게 사용하는 것이 가능하다.
제1층이 형성된 후, 밸브(243a, 243c)를 폐쇄하고, 처리실(201) 내에의 BTCSM 가스, 피리딘 가스의 공급을 각각 정지한다. 이때, APC 밸브(244)는 개방한 채로 두고, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 제1층의 형성에 기여한 후의 BTCSM 가스, 피리딘 가스, 반응 부생성물 등을 처리실(201) 내로부터 배제한다. 또한, 밸브(243d 내지 243f)는 개방한 채로 두어, 처리실(201) 내에의 N2 가스의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 또는 제1층의 형성에 기여한 후의 BTCSM 가스 및 피리딘 가스 등을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행하여지는 스텝 2에서 악영향이 발생하지 않는다. 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)(처리실(201))의 용적과 동일 정도의 양을 공급함으로써, 스텝 2에서 악영향이 발생하지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하고, 스루풋을 향상시킬 수 있다. N2 가스의 소비를 필요 최소한으로 억제하는 것도 가능하게 된다.
원료 가스로서는, BTCSM 가스 외에, 예를 들어 BTCSE 가스, TCDMDS 가스, DCTMDS 가스, MCPMDS 가스 등의 Si-C 결합을 갖는 실란 원료 가스를 사용할 수 있다.
촉매 가스로서는, 피리딘 가스 외에, 예를 들어 아미노피리딘 가스, 피콜린 가스, 루티딘 가스, 피페라진 가스, 피페리딘 가스 등의 환상 아민계 가스나, TEA 가스, DEA 가스, MEA 가스, TMA 가스, MMA 가스 등의 쇄상 아민계 가스나, NH3 가스 등의 비아민계 가스를 사용할 수 있다.
불활성 가스로서는, N2 가스 외에, 예를 들어 Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 사용할 수 있다.
[스텝 2]
이 스텝에서는, 처리실(201) 내의 웨이퍼(200)에 대하여 H2O 가스와 피리딘 가스를 공급한다.
밸브(243b, 243c, 243d 내지 243f)의 개폐 제어를, 스텝 1에서의 밸브(243a, 243c, 243d 내지 243f)의 개폐 제어와 마찬가지의 수순으로 행한다. H2O 가스, 피리딘 가스는, 각각 MFC(241b, 241c)에 의해 유량 조정되어, 노즐(249b, 249c)을 통해서 처리실(201) 내에 공급되고, 처리실(201) 내에 공급된 후에 혼합(Post-mix)되어, 배기관(231)으로부터 배기된다. 또한, 노즐(249a) 내에의 H2O 가스 등의 침입을 방지하는 N2 가스가, 가스 공급관(232a), 노즐(249a)을 통해서 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이때, MFC(241b)로 제어하는 H2O 가스의 공급 유량은, 예를 들어 10 내지 10000sccm, 바람직하게는 100 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241c)로 제어하는 피리딘 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. H2O 가스 및 피리딘 가스를 웨이퍼(200)에 대하여 공급하는 시간은, 예를 들어 1 내지 100초, 바람직하게는 5 내지 60초의 범위 내의 시간으로 한다. 기타 처리 조건은, 예를 들어 스텝 1과 마찬가지의 처리 조건으로 한다.
상술한 조건 하에서 웨이퍼(200)에 대하여 H2O 가스를 공급함으로써, 스텝 1에서 웨이퍼(200) 상에 형성된 제1층의 적어도 일부가 산화(개질)된다. 제1층이 개질됨으로써, Si, O 및 C를 포함하는 제2층, 즉, 실리콘 산탄화층(SiOC층)이 형성되게 된다. 제2층을 형성할 때, 제1층 중에 포함되는 Si-C 결합의 적어도 일부는 절단되지 않고 유지되어, 제2층 중에 그대로 도입되게(잔존하게) 된다. 제2층을 형성할 때, 제1층에 포함되어 있던 Cl 등의 불순물은, H2O 가스에 의한 개질 반응의 과정에서, 적어도 Cl을 포함하는 가스 상태 물질을 구성하며, 처리실(201) 내로부터 배출된다. 즉, 제1층 중의 Cl 등의 불순물은, 제1층 중으로부터 뽑히거나, 탈리되거나 함으로써, 제1층으로부터 분리된다. 이에 의해, 제2층은, 제1층에 비하여 Cl 등의 불순물이 적은 층으로 된다.
피리딘 가스는, H2O 가스가 갖는 O-H 결합의 결합력을 약화시켜, H2O 가스의 분해를 촉진하고, H2O 가스와 제1층의 반응에 의한 제2층의 형성을 촉진시키는 촉매 가스로서 작용한다. 즉, 웨이퍼(200)에 대하여 피리딘 가스를 공급하면, 피리딘 가스는, H2O 가스가 갖는 O-H 결합에 작용하여, 그 결합력을 약화시키도록 작용한다. 결합력이 약해진 H와, 웨이퍼(200) 상에 형성된 제1층이 갖는 Cl이 반응함으로써, Cl, H를 포함하는 가스 상태 물질이 생성되어, H2O 분자로부터 H가 탈리함과 함께, 제1층으로부터 Cl이 탈리되게 된다. H를 상실한 H2O 가스의 O는, Cl이 탈리되고 적어도 C의 일부가 남은 제1층의 Si와 결합한다. 이에 의해, 웨이퍼(200) 상에 산화된 제1층, 즉, 제2층이 형성되게 된다.
피리딘 가스의 촉매 작용에 의해, H2O 가스가 갖는 O-H 결합의 결합력이 약화되는 것은, 피리딘 분자 중의 고립 전자쌍을 갖는 N이, H를 끌어당기도록 작용하기 때문이다. 상술한 바와 같이, pKa가 큰 화합물은, H를 끌어당기는 힘이 강해진다. pKa가 5 이상인 화합물을 촉매 가스로서 사용함으로써 H2O 가스가 갖는 O-H 결합의 결합력을 적정하게 약화시킬 수 있어, 상술한 산화 반응을 촉진시키는 것이 가능하게 된다. 단, pKa가 과도하게 큰 화합물을 촉매 가스로서 사용하면, 제1층으로부터 뽑은 Cl과 촉매 가스가 반응해서 NH4Cl 등의 염이 발생하는 경우가 있다. 그 때문에, pKa가 예를 들어 11 이하, 바람직하게는 7 이하인 화합물을 촉매 가스로서 사용하는 것이 바람직하다. 피리딘 가스는, pKa가 약 5.67로 비교적 크고, 또한 7 이하이므로, 촉매 가스로서 적합하게 사용하는 것이 가능하다. 이 점은 스텝 1과 마찬가지이다.
제2층이 형성된 후, 밸브(243b, 243c)를 폐쇄하여, 처리실(201) 내에의 H2O 가스, 피리딘 가스의 공급을 각각 정지한다. 그리고, 스텝 1과 마찬가지의 처리 수순에 의해, 처리실(201) 내에 잔류하는 미반응 또는 제2층의 형성에 기여한 후의 H2O 가스, 피리딘 가스, 반응 부생성물 등을 처리실(201) 내로부터 배제한다. 이때, 처리실(201) 내에 잔류하는 가스 등을 완전히 배제하지 않아도 되는 점은, 스텝 1과 마찬가지이다.
반응 가스로서는, H2O 가스 외에, 예를 들어 과산화수소(H2O2) 가스 등의 O-H 결합을 포함하는 O 함유 가스를 사용할 수 있다. 또한, 반응 가스로서는, O-H 결합 비함유의 O 함유 가스, 예를 들어 산소(O2) 가스, 오존(O3) 가스, 수소(H2) 가스+O2 가스, H2 가스+O3 가스 등을 사용할 수도 있다.
촉매 가스로서는, 피리딘 가스 외에, 예를 들어 상술한 각종 아민계 가스나, 비아민계 가스를 사용할 수 있다. 즉, 스텝 2에서 사용하는 촉매 가스로서는, 스텝 1에서 사용하는 촉매 가스와 동일한 분자 구조(화학 구조)를 갖는 가스, 즉, 머티어리얼이 동등한 가스를 사용할 수 있다. 또한, 스텝 2에서 사용하는 촉매 가스로서는, 스텝 1에서 사용하는 촉매 가스와 상이한 분자 구조를 갖는 가스, 즉, 머티어리얼이 상이한 가스를 사용할 수도 있다.
불활성 가스로서는, N2 가스 외에, 예를 들어 상술한 각종 희가스를 사용할 수 있다.
(소정 횟수 실시)
상술한 스텝 1, 2를 비동시에, 즉, 동기시키지 않고 교대로 행하는 사이클을 1회 이상(소정 횟수) 행함으로써, 웨이퍼(200) 상에, 소정 조성 및 소정 막 두께의 SiOC막을 형성할 수 있다. 상술한 사이클은, 복수회 반복하는 것이 바람직하다. 즉, 1 사이클당 형성하는 제2층(SiOC층)의 두께를 원하는 막 두께보다도 작게 하여, 제2층을 적층함으로써 형성되는 SiOC막의 막 두께가 원하는 막 두께로 될 때까지, 상술한 사이클을 복수회 반복하는 것이 바람직하다.
(개질 스텝)
웨이퍼(200) 상에 SiOC막이 형성되면, 웨이퍼(200)의 온도가 원하는 온도로 되도록, 히터(207)에 의해 가열된다. 웨이퍼(200)의 온도가 원하는 온도로 되면, 처리실(201) 내의 웨이퍼(200)에 대하여 NF3 가스를 공급한다.
이 스텝에서는, 밸브(243a, 243d 내지 243f)의 개폐 제어를, 상술한 성막 스텝의 스텝 1에서의 밸브(243a, 243d 내지 243f)의 개폐 제어와 마찬가지의 수순으로 행한다. NF3 가스는, MFC(241a)에 의해 유량 조정되어, 노즐(249a)을 통해서 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200) 상에 형성된 SiOC막에 대하여 NF3 가스가 공급되게 된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 50 내지 300Torr(6650 내지 39900Pa), 바람직하게는 50 내지 100Torr(6650 내지 13300Pa)의 범위 내의 압력(개질 압력)으로 한다.
처리실(201) 내의 압력이 50Torr 미만이 되면, 웨이퍼(200) 상에 형성된 SiOC막과 NF3 가스가 반응하기 어려워져, SiOC막 중에 F를 도핑하는 것이 곤란해지는 경우가 있다. 처리실(201) 내의 압력을 50Torr 이상으로 함으로써 SiOC막과 NF3 가스를 반응시켜, SiOC막 중에 F를 도핑하는 것이 가능하게 된다.
처리실(201) 내의 압력이 300Torr를 초과하면, 웨이퍼(200) 상에 형성된 SiOC막의 NF3 가스에 의한 에칭이 진행되어, SiOC막 중에 F를 도핑하는 것이 곤란해지는 경우가 있다. 처리실(201) 내의 압력을 300Torr 이하로 함으로써, SiOC막의 에칭을 억제하고, SiOC막으로부터 Si나 C를 탈리시키지 않고, SiOC막 중에 F를 도핑하는 것이 가능하게 된다. 처리실(201) 내의 압력을 100Torr 이하로 함으로써, SiOC막의 에칭을 확실하게 억제하고, SiOC막 중에 F를 보다 다량으로 도핑하는 것이 가능하게 된다.
또한, 이때, 히터(207)의 온도는, 웨이퍼(200)의 온도가, 예를 들어 300℃ 이상 500℃ 이하, 바람직하게는 350℃ 이상 400℃ 이하의 범위 내의 온도(개질 온도)가 되는 온도로 설정한다.
웨이퍼(200)의 온도가 300℃ 미만이 되면, 웨이퍼(200) 상에 형성된 SiOC막과 NF3 가스가 반응하기 어려워져, SiOC막 중에 F를 도핑하는 것이 곤란해지는 경우가 있다. 웨이퍼(200)의 온도를 300℃ 이상으로 함으로써 SiOC막과 NF3 가스를 반응시켜, SiOC막 중에 F를 도핑하는 것이 가능하게 된다. 웨이퍼(200)의 온도를 350℃ 이상으로 함으로써 SiOC막과 NF3 가스의 반응을 촉진시켜, SiOC막 중에 F를 보다 다량으로 도핑하는 것이 가능하게 된다.
웨이퍼(200)의 온도가 500℃를 초과하면, 웨이퍼(200) 상에 형성된 SiOC막의 NF3 가스에 의한 에칭이 진행되어, SiOC막 중에 F를 도핑하는 것이 곤란해지는 경우가 있다. 웨이퍼(200)의 온도를 500℃ 이하로 함으로써, SiOC막의 NF3 가스에 의한 에칭을 억제하고, SiOC막으로부터 Si나 C를 탈리시키지 않고, SiOC막 중에 F를 도핑하는 것이 가능하게 된다. 웨이퍼(200)의 온도를 400℃ 이하로 함으로써, SiOC막의 NF3 가스에 의한 에칭을 확실하게 억제하여, SiOC막 중에 F를 보다 다량으로 도핑하는 것이 가능하게 된다.
또한, MFC(241a)로 제어하는 NF3 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. NF3 가스를 웨이퍼(200)에 대하여 공급하는 시간은, 예를 들어 10 내지 1200초, 바람직하게는 5 내지 600초의 범위 내의 시간으로 한다. 기타 조건은, 상술한 성막 스텝에서의 스텝 1의 처리 조건과 마찬가지로 한다.
상술한 조건 하에서 웨이퍼(200)에 대하여 NF3 가스를 공급함으로써, 웨이퍼(200) 상에 형성된 SiOC막 중에 F를 도핑하는 것이 가능하게 된다. 이에 의해, SiOC막은, Si, O, C 및 F를 포함하는 막, 즉, SiOCF막으로 개질(불화)되게 된다. 본 실시 형태에서의 F의 도핑은, SiOC막의 표면 근방뿐만 아니라, 표면보다도 깊은 영역을 포함하는 막의 전역에 대하여 넓게(깊게) 행하여진다. SiOCF막을, F가 도핑된 SiOC막이라고 칭할 수도 있다.
불활성 가스로서는, N2 가스 외에, 예를 들어 상술한 각종 희가스를 사용할 수 있다.
SiOCF막의 형성이 완료되면, 밸브(243a)를 폐쇄하여, 처리실(201) 내에의 NF3 가스의 공급을 정지한다. 그리고, 성막 스텝의 스텝 1과 마찬가지의 처리 수순에 의해, 처리실(201) 내에 잔류하는 NF3 가스나 반응 부생성물 등을 처리실(201) 내로부터 배제한다. 이때, 처리실(201) 내에 잔류하는 가스 등을 완전히 배제하지 않아도 되는 점은, 성막 스텝의 스텝 1과 마찬가지이다.
(애프터 퍼지 스텝·대기압 복귀 스텝)
개질 스텝이 종료되면, 가스 공급관(232d 내지 232f) 각각으로부터 N2 가스를 처리실(201) 내에 공급하고, 배기관(231)으로부터 배기한다. N2 가스는 퍼지 가스로서 작용한다. 이에 의해, 처리실(201) 내가 퍼지되어, 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(애프터 퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되어(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(반출 스텝)
그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되어, 매니폴드(209)의 하단이 개구됨과 함께, 처리가 끝난 웨이퍼(200)가, 보트(217)에 지지된 상태에서 매니폴드(209)의 하단으로부터 반응관(203)의 외부로 반출(보트 언로드)된다. 보트 언로드 후에는 셔터(219s)가 이동되어, 매니폴드(209)의 하단 개구가 O링(220c)을 통해서 셔터(219s)에 의해 시일된다(셔터 클로즈). 처리가 끝난 웨이퍼(200)는, 반응관(203)의 외부로 반출된 후, 보트(217)로부터 취출되게 된다(웨이퍼 디스차지).
(3) 본 실시 형태에 의한 효과
본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과가 얻어진다.
(a) 성막 스텝에서 SiOC막을 형성한 후, SiOC막의 에칭이 발생하지 않는 조건 하에서 웨이퍼(200)에 대하여 NF3 가스를 공급하는 개질 스텝을 행함으로써, SiOC막 중에 F를 도핑하는 것이 가능하게 된다. 이에 의해, 이 막을, F 비함유의 SiOC막보다도 에칭 내성이 높은 SiOCF막으로 개질시키는 것이 가능하게 된다. 또한, SiOC막 중에 F를 도핑함으로써, 이 막을, Low-k막인 F 비함유의 SiOC막보다도, 더 유전율이 낮은 Low-k막으로 개질시키는 것이 가능하게 된다.
(b) 성막 스텝에서 SiOC막을 형성한 후, 웨이퍼(200)의 온도를 성막 온도보다도 높은 개질 온도(300℃ 이상 500℃ 이하, 바람직하게는 350℃ 이상 400℃ 이하의 범위 내의 온도)로 가열하는 개질 스텝을 행함으로써, 성막 과정에서 막 중에 포함되게 된 수분(H2O)이나 CxHy 등의 불순물을, 막 중으로부터 탈리시키는 것이 가능하게 된다. 이에 의해, SiOC막이 개질되어 이루어지는 SiOCF막의 막질을 향상시키는 것이 가능하게 된다. 즉, 개질 스텝을 행함으로써, SiOC막에의 F의 도핑과 동시에, SiOC막에 대한 어닐 처리를 행하는 것이 가능하게 된다. 이에 의해, SiOC막이 개질되어 이루어지는 SiOCF막의 에칭 내성을 더욱 향상시키는 것이 가능하게 된다. 또한, 이 막을 다공성 형상의 막으로 해서, 이 막의 유전율을 더 저하시키는 것이 가능하게 된다.
(c) 성막 스텝에서는, 웨이퍼(200)에 대한 BTCSM 가스 및 피리딘 가스의 공급과, 웨이퍼(200)에 대한 H2O 가스 및 피리딘 가스의 공급을 동기시키지 않고 교대로 행하므로, SiOC막, 즉, 이 막이 개질되어 이루어지는 SiOCF막의 단차 피복성, 막 두께 균일성, 막 두께 제어성 등을 향상시키는 것이 가능하게 된다.
상술한 특성을 살림으로써, 본 실시 형태의 성막 방법은, 웨이퍼(200)의 표면에 형성된 트렌치(홈)나 홀(구멍) 등의 오목부 내의 매립을 행할 때, 특히 유효해진다. 왜냐하면, SiOCF막의 성막 방법으로서는, 도포 원료를 사용하는 SOG(Spin On Glass)법이나, 플라스마 CVD법이 알려져 있다. 그러나, SOG법에서는, 트렌치 등의 단차 부분에 있어서, 피복성 좋게 성막을 행하는 것이 곤란해지는 경우가 있다. 또한, 플라스마 CVD법에서는, SOG법과 마찬가지로 단차 피복성이 저하되는 경우가 있을 뿐만 아니라, 웨이퍼(200)의 표면이 플라스마에 의해 대미지를 받아버리는 경우가 있다.
본 실시 형태의 성막 방법에 의하면, 원료 가스와 반응 가스를 교대로 공급하므로, SOG법이나 플라스마 CVD법에 비해 높은 단차 피복성이 얻어지게 된다. 또한, 본 실시 형태의 성막 방법에 의하면, 성막 스텝 및 개질 스텝을 논 플라스마의 분위기 하에서 행하므로, 웨이퍼(200)에 대한 플라스마 대미지를 피하는 것이 가능하게 된다.
(d) 상술한 바와 같이, 본 실시 형태에서 형성하는 SiOCF막은, 에칭 내성, 단차 피복성, 막 두께 균일성, 막 두께 제어성에 있어서 양호한 적정을 가지므로, 반도체 장치(디바이스)의 제조 과정에서 요구되는 미세한 형상 제어를 행할 때, 특히 유효하다. 예를 들어, 본 실시 형태에서 형성하는 SiOCF막은, 사이드 월 스페이서, 에칭 스토퍼, 하드 마스크 등의 용도에 대하여 적합하게 적용 가능하고, 또한 SADP(Self-Aligned Double Patterning)나 SAQP(Self-Aligned Quadruple Patterning) 등의 다른 막종과의 에칭 선택성이 요구되는 패터닝 공정에서도, 적합하게 적용 가능하다.
또한, 본 실시 형태에서 형성하는 SiOCF막은, F 비함유의 SiOC막보다도 유전율이 낮은 Low-k막이므로, 반도체 디바이스의 기생 용량을 저감시킬 때, 특히 유효하다. 예를 들어, 본 실시 형태에서 형성하는 SiOCF막은, 매립 절연막 등의 용도에 대하여 적합하게 적용 가능하다.
(e) 상술한 각 효과는, 원료 가스로서 BTCSM 가스 이외의 할로실란 원료 가스를 사용하는 경우나, 반응 가스로서 H2O 가스 이외의 산화 가스를 사용하는 경우나, 촉매 가스로서 피리딘 가스 이외의 아민계 가스를 사용하는 경우에도, 마찬가지로 얻을 수 있다. 또한, 상술한 각 효과는, 개질 가스로서 NF3 가스 이외의 불소계 가스를 사용하는 경우에도, 마찬가지로 얻을 수 있다.
<제2 실시 형태>
도 5의 (a)나 이하에 나타내는 성막 시퀀스와 같이, 웨이퍼(200) 상에 SiOC막을 형성한 후, SiOC막의 에칭이 발생하지 않는 조건 하에서 웨이퍼(200)에 대하여 F2 가스를 공급함으로써, SiOC막의 표면을, C 및 F를 포함하고 Si 및 O 비함유의 층, 즉, 불화탄소층(CF층)으로 개질시켜도 된다.
(BTCSM+피리딘→H2O+피리딘)×n→F2⇒ CF/SiOC
이하, 제1 실시 형태의 성막 시퀀스와 상이한 점에 대해서, 상세하게 설명한다.
처리실(201) 내에 웨이퍼(200)를 반입하면, 제1 실시 형태의 성막 스텝과 마찬가지의 처리 수순, 처리 조건에 의해, 웨이퍼(200) 상에 SiOC막을 형성한다. 그 후, 제1 실시 형태의 개질 스텝과 마찬가지의 처리 수순에 의해, 개질 스텝을 행한다. 개질 스텝에서는, C 비함유의 불소계 가스로서, 상술한 바와 같이 F2 가스를 사용한다. F2 가스는, MFC(241a)에 의해 유량 조정되어, 노즐(249a)을 통해서 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200) 상에 형성된 SiOC막에 대하여 F2 가스가 공급되게 된다.
이때, APC 밸브(244)를 적정하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 0.1 내지 10Torr(13.3 내지 1330Pa), 바람직하게는 0.5 내지 1Torr(66.5 내지 133Pa)의 범위 내의 압력(개질 압력)으로 한다.
처리실(201) 내의 압력이 0.1Torr 미만이 되면, 웨이퍼(200) 상에 형성된 SiOC막과 F2 가스가 반응하기 어려워져, SiOC막의 표면에서의 C-F 결합의 형성이나, SiOC막의 표면으로부터의 Si나 O의 탈리가 곤란해지는 경우가 있다. 처리실(201) 내의 압력을 0.1Torr 이상으로 함으로써 SiOC막과 F2 가스를 반응시켜, SiOC막의 표면에서의 C-F 결합의 형성이나, SiOC막의 표면으로부터의 Si나 O의 탈리를 진행시킬 수 있고, 이에 의해, SiOC막의 표면을 CF층으로 개질시키는 것이 가능하게 된다. 처리실(201) 내의 압력을 0.5Torr 이상으로 함으로써 SiOC막과 F2 가스를 확실하게 반응시켜, SiOC막의 표면을 CF층으로 확실하게 개질시키는 것이 가능하게 된다.
처리실(201) 내의 압력이 10Torr를 초과하면, 웨이퍼(200) 상에 형성된 SiOC막의 F2 가스에 의한 에칭이 진행되어, SiOC막의 표면을 CF층으로 개질시키는 것이 곤란해지는 경우가 있다. 처리실(201) 내의 압력을 10Torr 이하로 함으로써, SiOC막의 에칭을 억제하면서, SiOC막의 표면을 CF층으로 개질시키는 것이 가능하게 된다. 처리실(201) 내의 압력을 1Torr 이하로 함으로써, SiOC막의 에칭을 확실하게 억제하면서, SiOC막의 표면을 CF층으로 확실하게 개질시키는 것이 가능하게 된다.
또한, 히터(207)의 온도는, 웨이퍼(200)의 온도가, 예를 들어 실온 이상 100℃ 이하, 바람직하게는 실온 이상 50℃ 이하의 범위 내의 온도(개질 온도)가 되는 온도로 설정한다.
웨이퍼(200)의 온도가 실온 미만이 되면, 웨이퍼(200) 상에 형성된 SiOC막과 F2 가스가 반응하기 어려워져, SiOC막의 표면에서 C-F 결합을 형성하는 것이나, SiOC막의 표면으로부터 Si나 O를 탈리시키는 것이 곤란해지는 경우가 있다. 웨이퍼(200)의 온도를 실온 이상으로 함으로써 SiOC막과 F2 가스를 반응시켜, SiOC막의 표면에서의 C-F 결합의 형성이나, SiOC막의 표면으로부터의 Si나 O의 탈리를 진행시킬 수 있어, SiOC막의 표면을 CF층으로 개질시키는 것이 가능하게 된다.
웨이퍼(200)의 온도가 100℃를 초과하면, 웨이퍼(200) 상에 형성된 SiOC막의 F2 가스에 의한 에칭이 진행되어, SiOC막의 표면을 CF층으로 개질시키는 것이 곤란해지는 경우가 있다. 웨이퍼(200)의 온도를 100℃ 이하로 함으로써, SiOC막의 에칭을 억제하면서, SiOC막의 표면을 CF층으로 개질시키는 것이 가능하게 된다. 웨이퍼(200)의 온도를 50℃ 이하로 함으로써, SiOC막의 에칭을 확실하게 억제하면서, SiOC막의 표면을 CF층으로 확실하게 개질시키는 것이 가능하게 된다. 즉, SiOC막의 에칭 억제와, SiOC막의 표면에서의 CF층의 형성을 밸런스 좋게 양립시키면서 진행시키는 것이 가능하게 된다.
또한, MFC(241a)로 제어하는 F2 가스의 공급 유량은, 예를 들어 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm의 범위 내의 유량으로 한다. F2 가스를 웨이퍼(200)에 대하여 공급하는 시간은, 예를 들어 10 내지 1200초, 바람직하게는 30 내지 600초의 범위 내의 시간으로 한다. 기타 조건은, 제1 실시 형태의 성막 스텝에서의 스텝 1의 처리 조건과 마찬가지로 한다.
상술한 조건 하에서 웨이퍼(200)에 대하여 F2 가스를 공급함으로써, 웨이퍼(200) 상에 형성된 SiOC막의 표면에 F를 도핑하여, C-F 결합을 형성하는 것이 가능하게 된다. 또한, SiOC막의 표면에 C를 잔류시키면서, 이 막의 표면으로부터 Si 및 O를 탈리시키는 것도 가능하게 된다. 이에 의해, SiOC막의 표면은, C 및 F를 포함하고 Si 및 O 비함유의 층, 즉, CF층으로 개질되게 된다. 또한, CF층이 형성될 때, Si는, SiF4 등의 부생성물을 구성해서 처리실(201) 내로부터 배출되게 된다.
CF층은, SiOC막의 표면에 F가 침입함(도핑됨)으로써 형성되는데, CF층이 형성된 후에는 CF층이 F의 침입을 억제하도록 작용하므로, 더 이상, SiOC막 중에 F는 침입하지 않게 된다. 즉, 본 실시 형태에서의 F의 도핑은, 주로 SiOC막의 표면 근방에서 진행되고, 표면보다도 깊은 영역에서는 진행되기 어려운 경향이 있다. 또한, SiOC 막 중으로부터의 Si나 O의 탈리에 대해서도, 주로 SiOC막의 표면 근방에서 진행되고, 표면보다도 깊은 영역에서는 진행되기 어려운 경향이 있다. 즉, 본 실시 형태에 따르면, SiOC막의 표면을 제외한 영역에 대해서는, 개질시키지 않고, 조성이나 막질을 유지하는 것이 가능하게 된다. 또한, SiOC막의 표면이 개질되어 이루어지는 CF층은, 후술하는 바와 같이, 웨이퍼(200)가 대기 중에 폭로되었을 때 SiOC막 중에의 산소(O) 등의 침입을 억제하도록 기능하기도 한다. 이러한 점에서, CF층을, F나 O에 대한 확산 억제층(블록층), 배리어층, 캡층 등이라고 칭할 수도 있다.
불소계 가스로서는, F2 가스 외에, 불화요오드(IF7) 가스, 불화염소(ClF3) 가스, HF 가스, 이들을 임의의 조합으로 혼합시킨 가스를 사용할 수 있다.
SiOC막의 표면의 개질이 완료되면, 밸브(243a)를 폐쇄하여, 처리실(201) 내에의 F2 가스의 공급을 정지한다. 그리고, 성막 스텝의 스텝 1과 마찬가지의 처리 수순에 의해, 처리실(201) 내에 잔류하는 F2 가스나 반응 부생성물 등을 처리실(201) 내로부터 배제한다. 이때, 처리실(201) 내에 잔류하는 가스 등을 완전히 배제하지 않아도 되는 점은, 성막 스텝의 스텝 1과 마찬가지이다.
그 후, 제1 실시 형태와 마찬가지의 처리 수순, 처리 조건에 의해, 애프터 퍼지 스텝, 대기압 복귀 스텝, 반출 스텝이 실시되고, 처리가 끝난 웨이퍼(200)가 보트(217)로부터 취출되어, 본 실시 형태의 기판 처리 공정이 종료된다.
본 실시 형태에 따르면, 성막 스텝에서 SiOC막을 형성한 후, SiOC막의 에칭이 발생하지 않는 조건 하에서 웨이퍼(200)에 대하여 F2 가스를 공급하는 개질 스텝을 행함으로써, SiOC막의 표면을 CF층으로 개질시키는 것이 가능하게 된다. CF층은, HF 용액 등의 약액(에천트)에 대한 보호막으로서 기능한다. 이 때문에, SiOC막의 표면을 CF층으로 개질시킴으로써, 이 막을, 표면에 CF층을 갖지 않는 F 비함유의 SiOC막보다도, 에칭 내성이 높은 막으로 할 수 있고, 또한 유전율이 낮은 막으로 할 수 있다.
또한, 성막 스텝에서 SiOC막을 형성한 후, 개질 스텝에서 웨이퍼(200)의 온도를 성막 온도보다도 높은 개질 온도로 가열했을 경우, 막 중으로부터 불순물을 탈리시킬 수 있어, 표면이 CF층으로 개질되어 이루어지는 SiOC막의 막질을 향상시키는 것이 가능하게 된다.
또한, 성막 스텝에서는, 웨이퍼(200)에 대한 BTCSM 가스 및 피리딘 가스의 공급과, 웨이퍼(200)에 대한 H2O 가스 및 피리딘 가스의 공급을 동기시키지 않고 교대로 행하므로, 표면이 CF층으로 개질되어 이루어지는 SiOC막의 단차 피복성, 막 두께 균일성, 막 두께 제어성을 향상시키는 것이 가능하게 된다.
또한, SiOC막의 표면에 형성된 CF층은, 웨이퍼(200)가 대기 중에 폭로되었을 때 SiOC막 중에의 O 등의 침입을 억제하도록 작용한다. 이에 의해, 웨이퍼(200) 상에 형성된 막을, 대기에의 폭로에 강한 안정된 막으로 하는 것이 가능하게 된다.
상술한 각 효과는, 원료 가스로서 BTCSM 가스 이외의 할로실란 원료 가스를 사용하는 경우나, 반응 가스로서 H2O 가스 이외의 산화 가스를 사용하는 경우나, 촉매 가스로서 피리딘 가스 이외의 아민계 가스를 사용하는 경우에도, 마찬가지로 얻을 수 있다. 또한, 상술한 각 효과는, 개질 가스로서 F2 가스 이외의 불소계 가스를 사용하는 경우에도, 마찬가지로 얻을 수 있다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였다. 그러나, 본 발명은 상술한 실시 형태에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
(a) 상술한 실시 형태에서는, 성막 스텝과 개질 스텝을 이 순서대로 1회씩 행하는 예에 대해서 설명하였다. 본 발명은 이러한 형태에 한정되지 않고, 성막 스텝과 개질 스텝을 교대로 복수회 반복하도록 해도 된다.
예를 들어, 도 4의 (b)나 이하에 나타내는 성막 시퀀스와 같이,
웨이퍼에 대하여 BTCSM 가스와 피리딘 가스를 공급하는 스텝과, 웨이퍼에 대하여 H2O 가스와 피리딘 가스를 공급하는 스텝을 비동시에 행하는 세트를 소정 횟수(n1회) 행함으로써, 웨이퍼 상에 SiOC층을 형성하는 층 형성 스텝과,
웨이퍼 상에 형성한 SiOC층의 에칭이 발생하지 않는 조건 하에서, 웨이퍼에 대하여 NF3 가스를 공급함으로써, SiOC층을 SiOCF층으로 개질시키는 개질 스텝,
을 교대로 행하는 사이클을 복수회(n2회) 행하도록 해도 된다(n1, n2는 각각 1 이상의 정수). 이 경우도, 웨이퍼 상에 SiOCF막을 형성할 수 있다. 도 4의 (b)는 층 형성 스텝에서의 세트의 실시 횟수(n1)를 2회로 한 예를 나타내고 있다.
〔(BTCSM+피리딘→H2O+피리딘)×n1→NF3〕×n2⇒ SiOCF
층 형성 스텝 및 개질 스텝의 처리 수순, 처리 조건은, 제1 실시 형태의 성막 스텝 및 개질 스텝의 처리 수순, 처리 조건과 마찬가지로 할 수 있다. 층 형성 스텝 1회당 형성하는 SiOC층의 두께를, 개질 스텝에 있어서 이 층의 전역을 골고루 개질시키는 것이 가능한 정도의 두께로 함으로써, SiOCF막의 두께 방향의 전역에 걸쳐, F의 도핑을 확실하게 행할 수 있고, 막 두께 방향에서의 F 농도를 균일화시키는 것이 가능하게 된다.
또한, 예를 들어, 도 5의 (b)나 이하에 나타내는 성막 시퀀스와 같이,
웨이퍼에 대하여 BTCSM 가스와 피리딘 가스를 공급하는 스텝과, 웨이퍼에 대하여 H2O 가스와 피리딘 가스를 공급하는 스텝을 비동시에 행하는 세트를 소정 횟수(n1회) 행함으로써, 웨이퍼 상에 SiOC층을 형성하는 층 형성 스텝과,
웨이퍼 상에 형성한 SiOC층의 에칭이 발생하지 않는 조건 하에서, 웨이퍼에 대하여 F2 가스를 공급함으로써, SiOC층의 표면을 CF층으로 개질시키는 개질 스텝,
을 교대로 행하는 사이클을 복수회(n2회) 행하도록 해도 된다(n1, n2는 각각 1 이상의 정수). 이 경우, 웨이퍼 상에 SiOC층과 CF층이 교대로 복수 적층되어 이루어지는 적층막을 형성하는 것이 가능하게 된다. 도 5의 (b)는 층 형성 스텝에서의 세트의 실시 횟수(n1)를 2회로 한 예를 나타내고 있다.
〔(BTCSM+피리딘→H2O+피리딘)×n1→F2〕×n2⇒ 적층막
층 형성 스텝 및 개질 스텝의 처리 수순, 처리 조건은, 제2 실시 형태의 성막 스텝 및 개질 스텝의 처리 수순, 처리 조건과 마찬가지로 할 수 있다. 층 형성 스텝 1회당 형성하는 SiOC층의 두께를 5nm 이하, 바람직하게는 1nm 이하로 함으로써, 최종적으로 형성하는 적층막을, 적층 방향에 있어서 통일된 특성을 갖는 막, 즉, 막 전체로서 일체 불가분의 특성을 갖는 나노 라미네이트막으로 하는 것이 가능하게 된다.
(b) 도 6이나 이하에 나타내는 성막 시퀀스와 같이, 웨이퍼 상에 SiOC막을 형성하는 성막 스텝, 웨이퍼 상에 형성한 SiOC막의 에칭이 발생하지 않는 조건 하에서, 웨이퍼에 대하여 NF3 가스를 공급함으로써, SiOC막을 SiOCF막으로 개질시키는 제1 개질 스텝, 웨이퍼 상에 형성한 SiOCF막의 에칭이 발생하지 않는 조건 하에서, 웨이퍼에 대하여 F2 가스를 공급함으로써, SiOCF막의 표면을 CF층으로 개질시키는 제2 개질 스텝을, 이 순서대로 행하도록 해도 된다. 이 경우, 웨이퍼 상에 표면이 CF층으로 개질된 SiOCF막을 형성하는 것이 가능하게 된다.
(BTCSM+피리딘→H2O+피리딘)×n→NF3→F2⇒ CF/SiOCF
성막 스텝 및 제1 개질 스텝의 처리 수순, 처리 조건은, 제1 실시 형태의 성막 스텝 및 개질 스텝의 처리 수순, 처리 조건과 마찬가지로 할 수 있다. 제2 개질 스텝의 처리 수순, 처리 조건은, 제2 실시 형태의 개질 스텝의 처리 수순, 처리 조건과 마찬가지로 할 수 있다. 이 경우, 제1, 제2 실시 형태에서 설명한 효과가 동시에 얻어지게 된다.
(c) 상술한 실시 형태에서는, 성막 스텝과 개질 스텝을 in-situ에서, 즉, 동일한 처리실 내에서 행하는 예에 대해서 설명하였다. 본 발명은 이러한 형태에 한정되지 않고, 성막 스텝과 개질 스텝을 ex-situ에서, 즉, 상이한 처리실 내에서 행하도록 해도 된다. in-situ에서 양 스텝을 행하면, 도중에 웨이퍼가 대기에 폭로되지 않고, 웨이퍼를 진공 하에 둔 채 일관되게 처리를 행할 수 있어, 안정된 성막 처리를 행할 수 있다. ex-situ에서 양 스텝을 행하면, 각각의 처리실 내의 온도를 예를 들어 각 스텝에서의 처리 온도 또는 그것에 가까운 온도로 미리 설정해 둘 수 있어, 온도 조정에 요하는 시간을 단축시켜, 생산 효율을 높일 수 있다.
(d) 상술한 실시 형태의 성막 스텝에서는, 원료 가스와 촉매 가스를 공급한 후, 반응 가스와 촉매 가스를 공급하는 예에 대해서 설명하였다. 본 발명은 이러한 형태에 한정되지 않고, 이들의 공급 순서는 역이어도 된다. 즉, 반응 가스와 촉매 가스를 공급한 후, 원료 가스와 촉매 가스를 공급하도록 해도 된다. 공급 순서를 바꿈으로써, 형성되는 막의 막질이나 조성비를 변화시키는 것이 가능하게 된다.
(e) 상술한 실시 형태 등에서는, 제1층을 제2층으로 변화시킬 때, 촉매 가스와 함께 열로 활성화시킨 반응 가스를 사용하는 예, 즉, 촉매 가스와 반응 가스를 논 플라스마의 분위기 하에서 공급하는 예에 대해서 설명하였다. 본 발명은 이 형태에 한정되지 않고, 플라스마 여기시킨 반응 가스를 공급하도록 해도 된다. 즉, 반응 가스를 플라스마 분위기 하에서 공급하도록 해도 된다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
(f) 상술한 실시 형태 등에서는, 원료 가스로서 클로로실란 원료 가스를 사용하는 예에 대해서 설명하였다. 본 발명은 이 형태에 한정되지 않고, 클로로실란 원료 가스 이외의 할로실란 원료 가스, 예를 들어 플루오로실란 원료 가스나 브로모실란 원료 가스 등을 사용해도 된다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
(g) 이하에 나타내는 성막 시퀀스와 같이, 성막 스텝에서는, 웨이퍼에 대하여 HCDS 가스 등의 C 비함유의 원료 가스를 공급하는 스텝과, 웨이퍼에 대하여 TEA 가스 등의 반응 가스(N 및 C를 포함하는 가스)를 공급하는 스텝과, 웨이퍼에 대하여 O2 가스 등의 반응 가스(O 함유 가스)를 공급하는 스텝을 비동시에 행하는 사이클을 소정 횟수(1회 이상) 행함으로써, 웨이퍼 상에 SiOC막이나 SiOCN막(이하, 이들을 SiOC(N)막이라고도 함)을 형성하도록 해도 된다. O2 가스를 공급하는 스텝에서, 그때까지 형성된 SiCN층으로부터 N이 충분히 탈리할 때까지 SiCN층을 산화시킴으로써 SiOC막이 형성되고, O2 가스를 공급하는 스텝에서, 그때까지 형성된 SiCN층으로부터 N이 충분히 탈리되기 전에 SiCN층의 산화를 정지시킴으로써 SiOCN막이 형성된다. 본 수순으로 형성한 막에 대해서도, 상술한 실시 형태와 마찬가지로 개질 스텝을 행함으로써, 상술한 실시 형태와 마찬가지의 효과가 얻어지게 된다.
(HCDS→TEA→O2)×n→NF3⇒ SiOC(N)F
(HCDS→TEA→O2)×n→F2⇒ CF/SiOC(N)
〔(HCDS→TEA→O2)×n1→NF3〕×n2⇒ SiOC(N)F
〔(HCDS→TEA→O2)×n1→F2〕×n2⇒ 적층막
(HCDS→TEA→O2)×n→NF3→F2⇒ CF/SiOC(N)F
HCDS 가스 공급 시의 처리 조건은, 예를 들어 이하와 같이 설정한다.
웨이퍼 온도: 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃
처리실 내 압력: 1 내지 2666Pa, 바람직하게는 67 내지 1333Pa
HCDS 가스 공급 유량: 1 내지 2000sccm, 바람직하게는 10 내지 1000sccm
N2 가스 공급 유량: 100 내지 10000sccm
가스 공급 시간: 1 내지 120초, 바람직하게는 1 내지 60초
TEA 가스 공급 시의 처리 조건은, 예를 들어 이하와 같이 설정한다.
처리실 내 압력: 1 내지 5000Pa, 바람직하게는 1 내지 4000Pa
TEA 가스 공급 유량: 100 내지 10000sccm
가스 공급 시간: 1 내지 200초, 바람직하게는 1 내지 120초, 보다 바람직하게는 1 내지 60초
기타 처리 조건: HCDS 가스 공급 시와 동일함
O2 가스 공급 시의 처리 조건은, 예를 들어 이하와 같이 설정한다.
처리실 내 압력: 1 내지 4000Pa, 바람직하게는 1 내지 3000Pa
O2 가스 공급 유량: 100 내지 10000sccm
가스 공급 시간: 1 내지 120초, 바람직하게는 1 내지 60초
기타 처리 조건: HCDS 가스 공급 시와 동일함
C 비함유의 원료 가스로서는, HCDS 가스 외에, OCTS 가스 등을 사용할 수 있다.
N 및 C를 포함하는 가스로서는, TEA 가스 외에, DEA 가스, MEA 가스, TMA 가스, MMA 가스 등의 아민계 가스나, 트리메틸히드라진((CH3)2N2(CH3)H, 약칭: TMH) 가스, 디메틸히드라진((CH3)2N2H2, 약칭: DMH) 가스, 모노메틸히드라진((CH3)HN2H2, 약칭: MMH) 가스 등의 유기 히드라진계 가스를 사용할 수 있다. 이들 가스는, 예를 들어 가스 공급관(232b)으로부터 공급할 수 있다.
O 함유 가스로서는, O2 가스 외에, O3 가스, H2O 가스, H2O2 가스, H2+O2 가스, H2+O3 가스 등을 사용할 수 있다.
(h) 이하에 나타내는 성막 시퀀스 예와 같이, 성막 스텝에서는, 웨이퍼를 수용한 처리실 내에, 1,4-디실란부탄(Si2C2H10, 약칭: DSB) 가스 등의 유기계 실란 원료와, 트리클로로보란(BCl3) 가스 등의 촉매적 물질을 공급해서, 이들을 처리실 내에 봉입하는 스텝과, 처리실 내를 배기하는 스텝을 소정 횟수(1회 이상) 실시해서 웨이퍼 상에 SiC막을 형성한 후, 이 SiC막을 H2O 가스 등의 반응 가스(O 함유 가스)에 의해 산화시킴으로써, 웨이퍼 상에 SiOC막을 형성하도록 해도 된다. 본 수순으로 형성한 막에 대해서도, 상술한 실시 형태와 마찬가지로 개질 스텝을 행함으로써, 상술한 실시 형태와 마찬가지의 효과가 얻어지게 된다.
(DSB+BCl3)×n→H2O→NF3⇒ SiOCF
(DSB+BCl3)×n→H2O→F2⇒ CF/SiOC
〔(DSB+BCl3)×n1→H2O→NF3〕×n2⇒ SiOCF
〔(DSB+BCl3)×n1→H2O→F2〕×n2⇒ 적층막
(DSB+BCl3)×n→H2O→NF3→F2⇒ CF/SiOCF
이때의 처리 조건은, 예를 들어 이하와 같이 설정한다.
웨이퍼 온도: 200 내지 400℃, 바람직하게는 250 내지 400℃, 보다 바람직하게는 300 내지 400℃
처리실 내 압력: 100 내지 5000Pa
DSB 가스 공급 유량: 100sccm 내지 2000sccm
BCl3 가스 공급 유량: 0.1sccm 내지 500sccm
H2O 가스 공급 유량: 1 내지 1000sccm
N2 가스 공급 유량: 100 내지 10000sccm
봉입 시간: 0.5 내지 30분, 바람직하게는 0.5 내지 20분, 보다 바람직하게는 0.5 내지 10분
유기계 실란 원료로서는, DSB 가스 외에, 예를 들어 SiC2H8, Si2CH8, SiC3H10, Si3CH10, SiC4H12, Si2C3H12, Si3C2H12, Si4CH12, SiC2H6, SiC3H8, Si2C2H8, SiC4H10, Si2C3H10 및 Si3C2H10 등을 사용할 수 있다. 이들 가스는, 예를 들어 가스 공급관(232a)으로부터 공급할 수 있다.
촉매적 물질로서는, BCl3 가스 외에, BClH2, BCl2H, BOCl3, BF3, BBr3, BI3, B2H6 및 NF3 등을 사용할 수 있다. 이들 가스는, 예를 들어 가스 공급관(232c)으로부터 공급할 수 있다.
O 함유 가스로서는, H2O 가스 외에, O2 가스, O3 가스, H2O2 가스, H2+O2 가스, H2+O3 가스 등을 사용할 수 있다.
또한, SiOC막은, 웨이퍼를 수용한 처리실 내에 DSB 가스, BCl3 가스 및 H2O 가스를 공급해서 이들을 처리실 내에 봉입하는 스텝과, 처리실 내를 배기하는 스텝을 소정 횟수 실시함으로써 형성하는 것도 가능하다. 이때의 처리 조건은, 상술한 처리 조건과 마찬가지로 할 수 있다.
(i) 본 발명은, 웨이퍼 상에, 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈륨(Ta), 니오븀(Nb), 몰리브덴(Mo), 텅스텐(W), 이트륨(Y), 스트론튬(Sr), 란탄(La), 알루미늄(Al) 등의 금속 원소를 포함하는 산탄화막, 즉, 금속 산탄화막을 형성하고, 그 막의 적어도 표면에 F를 도핑하는 경우에도, 적합하게 적용 가능하다.
즉, 본 발명은 웨이퍼 상에 TiOC막, ZrOC막, HfOC막, TaOC막, NbOC막, MoOC막, WOC막, YOC막, SrOC막, LaOC막, AlOC막을 형성하고, 이들 막 중에 F를 도핑함으로써, 이들 막을, TiOCF막, ZrOCF막, HfOCF막, TaOCF막, NbOCF막, MoOCF막, WOCF막, YOCF막, SrOCF막, LaOCF막, AlOCF막으로 개질시키는 경우에도, 적합하게 적용 가능하다. 또한, 본 발명은, 웨이퍼 상에 TiOC막, ZrOC막, HfOC막, TaOC막, NbOC막, MoOC막, WOC막, YOC막, SrOC막, LaOC막, AlOC막을 형성하고, 이들 막의 표면을 CF층으로 개질시킬 경우에도, 적합하게 적용 가능하다.
예를 들어, 원료로서, 티타늄테트라클로라이드(TiCl4)나 하프늄테트라클로라이드(HfCl4) 등의 금속 원소 및 Cl을 포함하는 금속 화합물을 사용하여, 이하에 나타내는 성막 시퀀스에 의해, 웨이퍼 상에 TiOCF막이나 HfOCF막을 형성하거나, 표면이 CF층으로 개질된 TiOC막이나 HfOC막을 형성하거나 하는 것이 가능하다.
〔TiCl4→TEA→O2〕×n→NF3⇒ TiOCF
〔HfCl4→TEA→O2〕×n→NF3⇒ HfOCF
〔TiCl4→TEA→O2〕×n→F2⇒ CF/TiOC
〔HfCl4→TEA→O2〕×n→F2⇒ CF/HfOC
이때의 성막 처리의 처리 수순, 처리 조건은, 상술한 실시 형태의 처리 수순, 처리 조건과 마찬가지로 할 수 있다. 이들 경우에도, 상술한 실시 형태와 마찬가지의 효과가 얻어진다. 즉, 본 발명은 반금속 산탄불화막이나 금속 산탄불화막을 형성하는 경우나, 표면이 CF층으로 개질된 반금속 산탄화막이나 금속 산탄화 막을 형성하는 경우에, 적합하게 적용할 수 있다.
(j) 기판 처리에 사용되는 레시피(처리 수순이나 처리 조건 등이 기재된 프로그램)는, 처리 내용(형성하는 막의 막종, 조성비, 막질, 막 두께, 처리 수순, 처리 조건 등)에 따라서 개별로 준비하여, 전기 통신 회선이나 외부 기억 장치(123)를 통해서 기억 장치(121c) 내에 저장해 두는 것이 바람직하다. 그리고, 기판 처리를 개시할 때, CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 레시피 중에서 처리 내용에 따라 적정한 레시피를 적절히 선택하는 것이 바람직하다. 이에 의해, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 막을, 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 부담(처리 수순이나 처리 조건 등의 입력 부담 등)을 저감할 수 있어, 조작 미스를 피하면서, 기판 처리를 신속하게 개시할 수 있게 된다.
상술한 프로세스 레시피는, 새롭게 작성하는 경우에 한하지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 변경함으로써 준비해도 된다. 레시피를 변경하는 경우에는, 변경 후의 레시피를, 전기 통신 회선이나 당해 레시피를 기록한 기록 매체를 통해서, 기판 처리 장치에 인스톨해도 된다. 또한, 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 직접 변경하도록 해도 된다.
(k) 상술한 실시 형태에서는, 한 번에 복수매의 기판을 처리하는 뱃치식의 기판 처리 장치를 사용해서 막을 형성하는 예에 대해서 설명하였다. 본 발명은 상술한 실시 형태에 한정되지 않고, 예를 들어 한 번에 1매 또는 수매의 기판을 처리하는 매엽식의 기판 처리 장치를 사용해서 막을 형성하는 경우에도, 적합하게 적용할 수 있다. 또한, 상술한 실시 형태에서는, 핫월형의 처리 로를 갖는 기판 처리 장치를 사용해서 막을 형성하는 예에 대해서 설명하였다. 본 발명은 상술한 실시 형태에 한정되지 않고, 콜드월형의 처리 로를 갖는 기판 처리 장치를 사용해서 막을 형성하는 경우에도, 적합하게 적용할 수 있다.
예를 들어, 도 11에 도시하는 처리 로(302)를 구비한 기판 처리 장치를 사용해서 막을 형성하는 경우에도, 본 발명은 적합하게 적용할 수 있다. 처리 로(302)는, 처리실(301)을 형성하는 처리 용기(303)와, 처리실(301) 내에 가스를 샤워 형상으로 공급하는 샤워 헤드(303s)와, 1매 또는 수매의 웨이퍼(200)를 수평 자세로 지지하는 지지대(317)와, 지지대(317)를 하방으로부터 지지하는 회전축(355)과, 지지대(317)에 설치된 히터(307)를 구비하고 있다. 샤워 헤드(303s)의 인렛(가스 도입구)에는, 가스 공급 포트(332a 내지 332c)가 접속되어 있다. 가스 공급 포트(332a)에는, 상술한 실시 형태의 원료 가스 공급계, 개질 가스 공급계와 마찬가지의 가스 공급계가 접속되어 있다. 가스 공급 포트(332b)에는, 상술한 실시 형태의 반응 가스 공급계와 마찬가지의 가스 공급계가 접속되어 있다. 가스 공급 포트(332c)에는, 상술한 실시 형태의 촉매 가스 공급계와 마찬가지의 가스 공급계가 접속되어 있다. 샤워 헤드(303s)의 아울렛(가스 배출구)에는, 처리실(301) 내에 가스를 샤워 형상으로 공급하는 가스 분산판이 설치되어 있다. 처리 용기(303)에는, 처리실(301) 내를 배기하는 배기 포트(331)가 설치되어 있다. 배기 포트(331)에는, 상술한 실시 형태의 배기계와 마찬가지의 배기계가 접속되어 있다.
또한, 예를 들어, 도 12에 나타내는 처리 로(402)를 구비한 기판 처리 장치를 사용해서 막을 형성하는 경우에도, 본 발명은 적합하게 적용할 수 있다. 처리 로(402)는, 처리실(401)을 형성하는 처리 용기(403)와, 1매 또는 수매의 웨이퍼(200)를 수평 자세로 지지하는 지지대(417)와, 지지대(417)를 하방으로부터 지지하는 회전축(455)과, 처리 용기(403) 내의 웨이퍼(200)를 향해서 광 조사를 행하는 램프 히터(407)와, 램프 히터(407)의 광을 투과시키는 석영창(403w)을 구비하고 있다. 처리 용기(403)에는, 가스 공급 포트(432a 내지 432c)가 접속되어 있다. 가스 공급 포트(432a)에는, 상술한 실시 형태의 원료 가스 공급계, 개질 가스 공급계와 마찬가지의 가스 공급계가 접속되어 있다. 가스 공급 포트(432b)에는, 상술한 실시 형태의 반응 가스 공급계와 마찬가지의 가스 공급계가 접속되어 있다. 가스 공급 포트(432c)에는, 상술한 실시 형태의 촉매 가스 공급계와 마찬가지의 가스 공급계가 접속되어 있다. 처리 용기(403)에는, 처리실(401) 내를 배기하는 배기 포트(431)가 설치되어 있다. 배기 포트(431)에는, 상술한 실시 형태의 배기계와 마찬가지의 배기계가 접속되어 있다.
이들 기판 처리 장치를 사용하는 경우에도, 상술한 실시 형태와 마찬가지의 처리 수순, 처리 조건에서 성막 처리를 행할 수 있고, 상술한 실시 형태와 마찬가지의 효과가 얻어진다.
(l) 상술한 실시 형태는, 적절히 조합해서 사용할 수 있다. 이때의 처리 수순, 처리 조건은, 예를 들어 상술한 실시 형태의 처리 수순, 처리 조건과 마찬가지로 할 수 있다.
실시예
이하, 상술한 실시 형태나 변형예에서 얻어지는 효과를 뒷받침하는 실험 결과에 대해서 설명한다.
먼저, 평가 샘플로서, 다음의 샘플 1 내지 4를 제작하였다.
<샘플 1>
상술한 실시 형태의 기판 처리 장치를 사용하여, 웨이퍼의 표면에 SiOC막이 형성되어 이루어지는 샘플 1을 복수 제작하였다. 웨이퍼의 표면에 SiOC막을 형성하는 성막 처리는, 웨이퍼를 수용한 처리실 내에 DSB 가스 및 BCl3 가스를 공급해서 이들을 처리실 내에 봉입하는 스텝과, 처리실 내를 배기하는 스텝을 소정 횟수 실시해서 웨이퍼 상에 SiC막을 형성한 후, 이 SiC막을 H2O 가스에 의해 산화시킴으로써 행하였다. 성막 처리의 처리 조건은, 상술한 실시 형태에 기재된 처리 조건 범위 내의 조건으로 하였다.
<샘플 2>
샘플 1의 웨이퍼를 수용한 처리실 내에 소정의 처리 조건 하에서 NF3 가스를 공급하는 처리를 실시해서 샘플 2를 제작하였다. NF3 가스를 공급하는 처리에서는, 웨이퍼의 온도를 350 내지 400℃의 범위 내의 소정의 온도로 하고, 처리실 내의 압력을 80 내지 120Torr의 범위 내의 소정의 압력으로 하였다. 다른 처리 조건은, 상술한 제1 실시 형태에 기재된 처리 조건 범위 내의 조건으로 하였다.
<샘플 3>
샘플 1의 웨이퍼를 수용한 처리실 내에 소정의 처리 조건 하에서 F2 가스를 공급하는 처리를 실시해서 샘플 3을 제작하였다. F2 가스를 공급하는 처리에서는, 웨이퍼의 온도를 40 내지 60℃의 범위 내의 소정의 온도로 하고, 처리실 내의 압력을 0.50 내지 1.5Torr의 범위 내의 소정의 압력으로 하였다. 다른 처리 조건은, 상술한 제2 실시 형태에 기재된 처리 조건 범위 내의 조건으로 하였다.
<샘플 4>
샘플 1의 웨이퍼를 수용한 처리실 내에 소정의 처리 조건 하에서 NF3 가스를 공급하는 처리를 실시해서 샘플 4를 제작하였다. NF3 가스를 공급하는 처리에서는, 처리실 내의 압력을 10 내지 20Torr의 범위 내의 소정의 압력으로 하였다. 다른 처리 조건은, 상술한 샘플 2를 제작할 때의 처리 조건과 마찬가지로 하였다.
그리고, 샘플 1 내지 4에서 형성한 막에 대하여, 이온 스퍼터링과 X선 광전자 분광(XPS) 분석을 교대로 반복해서, 막의 깊이 방향에서의 조성 분포(프로파일)를 측정하였다. 도 7 내지 도 10은, 각각 샘플 1 내지 4에서 형성한 막의 XPS 분석 결과를 도시하는 도면이다. 도 7 내지 도 10의 횡축은, 스퍼터 시간(분)을 나타내고 있고, 이것은, 웨이퍼 상에 형성된 막의 표면으로부터의 깊이와 동의이다. 또한, 도 7 내지 도 10의 종축은, 웨이퍼 상에 형성된 막 중에 포함되는 각종 원소(Si, O, C, F 등)의 원자 농도(at%)를 나타내고 있다.
도 7에 의하면, 샘플 1에서 형성한 막, 즉, NF3 가스나 F2 가스를 공급하는 처리를 행하기 전의 막은, Si, O, C를 포함하는 F 비함유의 막, 즉, SiOC막으로 되어 있는 것을 알 수 있다. 또한, 막 중에 포함되는 B나 Cl은, 성막 처리에서 사용한 BCl3 가스에 포함되어 있던 것이라 생각할 수 있는데, 모두 불순물 레벨이다.
도 8에 의하면, 샘플 2에서 형성한 막은, 막 전체가 SiOC막으로부터 SiOCF막으로 개질되어 있는 것을 알 수 있다. 즉, 막 중에는, 표면뿐만 아니라, 다른 영역(막의 표면을 제외한 영역)에도 F가 넓게 도핑되어 있는 것을 알 수 있다. 또한, Si, C는, 거의 탈리되지 않고 막 중에 잔류하고 있는 것을 알 수 있다.
도 9에 의하면, 샘플 3에서 형성한 막은, 그 표면만이 CF층으로 개질되어 있는 것을 알 수 있다. 즉, 막의 표면에는 비교적 고농도로 F가 도핑되고, 또한 막의 표면으로부터 Si나 O가 탈리함으로써 막 표면에서의 C 농도가 증가하고 있어, 막의 표면은 C, F를 포함하고 Si 및 O 비함유의 층으로 되어 있는 것을 알 수 있다. 또한, 막의 표면을 제외한 영역에서는, 샘플 1에서 형성한 SiOC막으로부터 조성에 큰 변화는 없는 것을 알 수 있다.
도 10에 의하면, 샘플 4에서 형성한 막 중에서의 F 농도는, 불순물 레벨, 구체적으로는, 표면에서 5% 이하, 그 이외의 영역에서 1% 이하인 것을 알 수 있다. 또한, 샘플 4에서 형성한 막은, 샘플 1에서 형성한 막과, 조성에 큰 변화는 없는 것을 알 수 있다. 따라서, NF3 가스를 공급하는 처리에 있어서, 처리실 내의 압력을 10 내지 20Torr로 낮게 했을 경우, SiOC막을 개질시키는 것은 곤란한 것을 알 수 있다.
샘플 2를 제작할 때의 처리 조건과, 샘플 4를 작성할 때의 처리 조건은, NF3 가스를 공급할 때의 처리실 내의 압력을 제외하고 동일하다. 이들 샘플에서 개질 처리의 효과에 큰 차가 발생한 이유로서는, 이하를 생각할 수 있다.
먼저, 샘플 2를 제작할 때는, 처리실 내의 압력, 즉, NF3 가스의 분압을 높임으로써 NF3 가스의 농도가 높아지고, 이에 의해, NF3 분자의 SiOC막의 표면에의 충돌 횟수(충돌 확률), 즉, NF3과 SiOC막의 반응 확률이 증가하여, 막 중에의 F의 확산이 적극적으로 발생하고 있는 것으로 생각된다. 이에 반해, 샘플 4를 제작할 때는, 처리실 내의 압력, 즉, NF3 가스의 분압이 낮으므로 NF3 가스의 농도가 저하되고, 이에 의해, NF3 분자의 SiOC막의 표면에의 충돌 횟수, 즉, NF3과 SiOC막의 반응 확률이 줄어들어, 막 중에의 F의 확산이 발생하기 어렵게 되어 있는 것으로 생각된다.
또한, 샘플 2를 제작할 때는, 처리실 내의 압력, 즉, NF3 가스의 분압을 높임으로써, NF3 가스 분자의 반응 에너지를 크게 할 수 있고, 이에 의해, 막 중에서의 C-F 결합의 형성이 촉친되고 있는 것이라 생각된다. 이에 반해, 샘플 4를 제작할 때는, 처리실 내의 압력, 즉, NF3 가스의 분압이 낮으므로, NF3 가스 분자의 반응 에너지가 부족하고, 이에 의해, 막 중에서의 C-F 결합의 형성이 진행되기 어렵게 되어 있는 것이라 생각된다.
또한, 발명자들은, 샘플 2, 3에서 형성한 막은, 샘플 1, 4에서 형성한 막보다도, 에칭 내성이 높고, 또한 유전율이 낮은 막인 것을 확인하였다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대해서 부기한다.
(부기 1)
본 발명의 일 형태에 의하면,
표면에 소정 원소, 산소 및 탄소를 포함하는 막(산탄화막)이 형성된 기판을 준비하는 공정과,
상기 막의 에칭이 발생하지 않는 조건 하에서 상기 기판에 대하여 탄소 비함유의 불소계 가스를 공급함으로써, 적어도 상기 막의 표면을 개질시키는 공정,
을 갖는 반도체 장치의 제조 방법, 또는 기판 처리 방법이 제공된다.
(부기 2)
부기 1에 기재된 방법이며, 바람직하게는
적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 막 중(의 전역)에 불소를 도핑하여, 상기 막(막 전체)을 상기 소정 원소, 산소, 탄소 및 불소를 포함하는 막(산탄불화막)으로 개질시킨다.
(부기 3)
부기 2에 기재된 방법이며, 바람직하게는
적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 불소계 가스로서 불화질소(NF3) 가스를 사용한다.
(부기 4)
부기 2 또는 3에 기재된 방법이며, 바람직하게는
적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 기판의 온도를 300℃ 이상 500℃ 이하(보다 바람직하게는 350℃ 이상 400℃ 이하)의 범위 내의 온도로 한다.
(부기 5)
부기 2 내지 4 중 어느 하나에 기재된 방법이며, 바람직하게는
적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 기판이 존재하는 공간의 압력을 50Torr 이상 300Torr 이하(보다 바람직하게는 50Torr 이상 100Torr 이하)의 범위 내의 압력으로 한다.
(부기 6)
부기 1에 기재된 방법이며, 바람직하게는
적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 막의 표면을, 탄소 및 불소를 포함하고 상기 소정 원소 및 산소 비함유의 층(불화탄소층, CF층)으로 개질시킨다.
(부기 7)
부기 6에 기재된 방법이며, 바람직하게는
적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 불소계 가스로서, 불소(F2) 가스, 불화요오드(IF7) 가스, 불화염소(ClF3) 가스 및 불화수소(HF) 가스로 이루어지는 군에서 선택되는 적어도 하나를 사용한다.
(부기 8)
부기 6 또는 7에 기재된 방법이며, 바람직하게는
적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 기판의 온도를 실온(25℃) 이상 100℃ 이하(보다 바람직하게는 실온 이상 50℃ 이하)의 범위 내의 온도로 한다.
(부기 9)
부기 6 내지 8 중 어느 하나에 기재된 방법이며, 바람직하게는
적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 기판이 존재하는 공간의 압력을 0.1Torr 이상 10Torr 이하(보다 바람직하게는 0.5Torr 이상 1Torr 이하)의 범위 내의 압력으로 한다.
(부기 10)
부기 1 내지 9 중 어느 하나에 기재된 방법이며, 바람직하게는
상기 기판의 표면에는 오목부(트렌치, 홀)가 설치되어 있고, 상기 막은 적어도 상기 오목부 내에 형성되어 있다.
(부기 11)
부기 1 내지 10 중 어느 하나에 기재된 방법이며, 바람직하게는
상기 기판에 대하여 복수 종류의 처리 가스를 비동시에 공급함으로써 상기 기판 상에 상기 막을 형성하는 공정을 더 갖는다. 또한 바람직하게는, 상기 막은, 상기 기판에 대하여 복수 종류의 처리 가스를 비동시에 공급함으로써 형성되어 있다.
(부기 12)
부기 11에 기재된 방법이며, 바람직하게는
상기 기판 상에 상기 막을 형성하는 공정과, 적어도 상기 막의 표면을 개질시키는 공정을 in-situ에서(동일한 처리실 내에서) 행한다.
(부기 13)
부기 11에 기재된 방법이며, 바람직하게는
상기 기판 상에 상기 막을 형성하는 공정과, 적어도 상기 막의 표면을 개질시키는 공정을 ex-situ에서(서로 다른 처리실 내에서) 행한다.
(부기 14)
부기 1 내지 13 중 어느 하나에 기재된 방법이며, 바람직하게는
적어도 상기 막의 표면을 개질시키는 공정은 논 플라스마의 분위기 하에서 행하여진다.
(부기 15)
본 발명의 다른 형태에 의하면,
기판을 수용하는 처리실과,
상기 처리실 내의 기판에 대하여 탄소 비함유의 불소계 가스를 공급하는 공급계와,
상기 처리실 내의 기판의 온도를 조정하는 온도 조정부와,
상기 처리실 내에, 표면에 소정 원소, 산소 및 탄소를 포함하는 막이 형성된 기판을 준비(수용)한 후, 상기 막의 에칭이 발생하지 않는 조건 하에서 상기 기판에 대하여 상기 불소계 가스를 공급함으로써, 적어도 상기 막의 표면을 개질시키는 처리를 행하게 하도록, 상기 공급계 및 상기 온도 조정부를 제어하도록 구성되는 제어부,
를 갖는 기판 처리 장치가 제공된다.
(부기 16)
본 발명의 또 다른 형태에 의하면,
표면에 소정 원소, 산소 및 탄소를 포함하는 막이 형성된 기판을 준비하는 수순과,
상기 막의 에칭이 발생하지 않는 조건 하에서 상기 기판에 대하여 탄소 비함유의 불소계 가스를 공급함으로써, 적어도 상기 막의 표면을 개질시키는 수순,
을 컴퓨터에 실행시키는 프로그램, 또는 해당 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121 : 컨트롤러(제어부)
200 : 웨이퍼(기판)
201 : 처리실
202 : 처리 로
203 : 반응관
207 : 히터
231 : 배기관
232a 내지 232f : 가스 공급관

Claims (16)

  1. 표면에 소정 원소, 산소 및 탄소를 포함하는 막이 형성된 기판을 준비하는 공정과,
    상기 막의 에칭이 발생하지 않는 조건 하에서 상기 기판에 대하여 탄소 비함유의 불소계 가스를 공급함으로써, 적어도 상기 막의 표면을 개질시키는 공정,
    을 갖는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 막 중에 불소를 도핑하여, 상기 막을, 상기 소정 원소, 산소, 탄소 및 불소를 포함하는 막으로 개질시키는, 반도체 장치의 제조 방법.
  3. 제2항에 있어서,
    적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 불소계 가스로서 불화질소 가스를 사용하는, 반도체 장치의 제조 방법.
  4. 제2항에 있어서,
    적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 기판의 온도를 300℃ 이상 500℃ 이하의 범위 내의 온도로 하는, 반도체 장치의 제조 방법.
  5. 제2항에 있어서,
    적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 기판이 존재하는 공간의 압력을 50Torr 이상 300Torr 이하의 범위 내의 압력으로 하는, 반도체 장치의 제조 방법.
  6. 제1항에 있어서,
    적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 막의 표면을, 탄소 및 불소를 포함하고 상기 소정 원소 및 산소 비함유의 층으로 개질시키는, 반도체 장치의 제조 방법.
  7. 제6항에 있어서,
    적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 불소계 가스로서, 불소 가스, 불화요오드 가스, 불화염소 가스 및 불화수소 가스로 이루어지는 군에서 선택되는 적어도 하나를 사용하는, 반도체 장치의 제조 방법.
  8. 제6항에 있어서,
    적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 기판의 온도를 실온 이상 100℃ 이하의 범위 내의 온도로 하는, 반도체 장치의 제조 방법.
  9. 제6항에 있어서,
    적어도 상기 막의 표면을 개질시키는 공정에서는, 상기 기판이 존재하는 공간의 압력을 0.1Torr 이상 10Torr 이하의 범위 내의 압력으로 하는, 반도체 장치의 제조 방법.
  10. 제1항에 있어서,
    상기 기판의 표면에는 오목부가 설치되어 있고, 상기 막은 적어도 상기 오목부 내에 형성되어 있는, 반도체 장치의 제조 방법.
  11. 제1항에 있어서,
    상기 기판에 대하여 복수 종류의 처리 가스를 비동시에 공급함으로써 상기 기판 상에 상기 막을 형성하는 공정을 더 갖는, 반도체 장치의 제조 방법.
  12. 제11항에 있어서,
    상기 기판 상에 상기 막을 형성하는 공정과, 적어도 상기 막의 표면을 개질시키는 공정을 in-situ에서 행하는, 반도체 장치의 제조 방법.
  13. 제11항에 있어서,
    상기 기판 상에 상기 막을 형성하는 공정과, 적어도 상기 막의 표면을 개질시키는 공정을 ex-situ에서 행하는, 반도체 장치의 제조 방법.
  14. 제1항에 있어서,
    적어도 상기 막의 표면을 개질시키는 공정은 논 플라스마의 분위기 하에서 행하여지는, 반도체 장치의 제조 방법.
  15. 기판을 수용하는 처리실과,
    상기 처리실 내의 기판에 대하여 탄소 비함유의 불소계 가스를 공급하는 공급계와,
    상기 처리실 내의 기판의 온도를 조정하는 온도 조정부와,
    상기 처리실 내에, 표면에 소정 원소, 산소 및 탄소를 포함하는 막이 형성된 기판을 준비한 후, 상기 막의 에칭이 발생하지 않는 조건 하에서 상기 기판에 대하여 상기 불소계 가스를 공급함으로써, 적어도 상기 막의 표면을 개질시키는 처리를 행하게 하도록, 상기 공급계 및 상기 온도 조정부를 제어하도록 구성되는 제어부,
    를 갖는 기판 처리 장치.
  16. 표면에 소정 원소, 산소 및 탄소를 포함하는 막이 형성된 기판을 준비하는 수순과,
    상기 막의 에칭이 발생하지 않는 조건 하에서 상기 기판에 대하여 탄소 비함유의 불소계 가스를 공급함으로써, 적어도 상기 막의 표면을 개질시키는 수순,
    을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020187006121A 2015-09-17 2015-09-17 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 KR102021708B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2015/076526 WO2017046921A1 (ja) 2015-09-17 2015-09-17 半導体装置の製造方法、基板処理装置および記録媒体

Publications (2)

Publication Number Publication Date
KR20180038473A true KR20180038473A (ko) 2018-04-16
KR102021708B1 KR102021708B1 (ko) 2019-09-16

Family

ID=58288366

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187006121A KR102021708B1 (ko) 2015-09-17 2015-09-17 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Country Status (4)

Country Link
US (1) US10784116B2 (ko)
JP (1) JP6457101B2 (ko)
KR (1) KR102021708B1 (ko)
WO (1) WO2017046921A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210099127A (ko) * 2019-01-11 2021-08-11 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
US11848203B2 (en) 2019-12-27 2023-12-19 Kokusai Electric Corporation Methods of processing substrate and manufacturing semiconductor device by forming film, substrate processing apparatus, and recording medium

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
JP6987948B2 (ja) * 2017-12-20 2022-01-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6843087B2 (ja) 2018-03-12 2021-03-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20210048571A (ko) * 2018-09-21 2021-05-03 램 리써치 코포레이션 로우-K (low-k) ALD 갭-충진 방법들 및 재료
JP6957442B2 (ja) * 2018-11-30 2021-11-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7254044B2 (ja) * 2020-03-25 2023-04-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11087989B1 (en) * 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
KR20230042318A (ko) * 2020-09-24 2023-03-28 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003309173A (ja) * 2002-04-18 2003-10-31 Hitachi Ltd 半導体装置及びその製造方法
KR20140114761A (ko) * 2013-03-19 2014-09-29 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3485425B2 (ja) * 1996-11-18 2004-01-13 富士通株式会社 低誘電率絶縁膜の形成方法及びこの膜を用いた半導体装置
JP3723085B2 (ja) * 2001-03-15 2005-12-07 株式会社東芝 半導体装置の製造方法及び製造装置
JP4413556B2 (ja) * 2003-08-15 2010-02-10 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法
CN100539071C (zh) * 2006-02-16 2009-09-09 中芯国际集成电路制造(上海)有限公司 用于形成低介电常数氟掺杂层的方法
KR101412761B1 (ko) * 2008-01-18 2014-07-02 삼성디스플레이 주식회사 박막 트랜지스터 기판 및 이의 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003309173A (ja) * 2002-04-18 2003-10-31 Hitachi Ltd 半導体装置及びその製造方法
KR20140114761A (ko) * 2013-03-19 2014-09-29 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210099127A (ko) * 2019-01-11 2021-08-11 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
US11848203B2 (en) 2019-12-27 2023-12-19 Kokusai Electric Corporation Methods of processing substrate and manufacturing semiconductor device by forming film, substrate processing apparatus, and recording medium

Also Published As

Publication number Publication date
JPWO2017046921A1 (ja) 2018-07-05
US10784116B2 (en) 2020-09-22
JP6457101B2 (ja) 2019-01-23
WO2017046921A1 (ja) 2017-03-23
KR102021708B1 (ko) 2019-09-16
US20180204732A1 (en) 2018-07-19

Similar Documents

Publication Publication Date Title
KR101788440B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR102021708B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US20190311898A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9673043B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and recording medium
KR101670182B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US9349586B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and non-transitory computer-readable recording medium
TWI536463B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
JP5514365B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
KR101827620B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101553481B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101964797B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20140114762A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP2016213217A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20160103952A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US10062562B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
KR101746282B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US20240105443A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing system, and recording medium

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant