KR20170077771A - 반도체 소자 및 그 제조 방법 - Google Patents

반도체 소자 및 그 제조 방법 Download PDF

Info

Publication number
KR20170077771A
KR20170077771A KR1020160135536A KR20160135536A KR20170077771A KR 20170077771 A KR20170077771 A KR 20170077771A KR 1020160135536 A KR1020160135536 A KR 1020160135536A KR 20160135536 A KR20160135536 A KR 20160135536A KR 20170077771 A KR20170077771 A KR 20170077771A
Authority
KR
South Korea
Prior art keywords
metal layer
gate
layer
forming
space
Prior art date
Application number
KR1020160135536A
Other languages
English (en)
Inventor
야오 드 치오우
후이 치 첸
젱 야 데이비드 예
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20170077771A publication Critical patent/KR20170077771A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66515Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66848Unipolar field-effect transistors with a Schottky gate, i.e. MESFET
    • H01L29/66856Unipolar field-effect transistors with a Schottky gate, i.e. MESFET with an active layer made of a group 13/15 material
    • H01L29/66863Lateral single gate transistors
    • H01L29/66871Processes wherein the final gate is made after the formation of the source and drain regions in the active layer, e.g. dummy-gate processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 소자는 제1 게이트 유전체 층 및 제1 게이트 전극을 포함한 제1 전계 효과 트랜지스터(FET)를 포함한다. 제1 게이트 전극은 제1 하부 금속층 및 제1 상부 금속층을 포함한다. 제1 하부 금속층은 제1 게이트 유전체 층과 접촉하는 제1 기초 금속층 및 제1 벌크 금속층을 포함한다. 제1 상부 금속층의 바닥은 제1 기초 금속층의 상부 표면 및 제1 벌크 금속층의 상부 표면과 접촉한다.

Description

반도체 소자 및 그 제조 방법{A SEMICONDUCTOR DEVICE AND A METHOD FOR FABRICATING THE SAME}
관련 출원에 대한 교차 참조
본 출원은 2015년 12월 28일자 출원된 미국 가특허 출원 제62/272,031호를 우선권 주장하며, 이 우선권 출원의 전체 내용은 인용에 의해 본원에 통합된다.
기술 분야
본 발명은 반도체 소자 제조 방법에 관한 것으로, 특히 금속 게이트 구조물의 구조 및 제조 방법에 관한 것이다.
반도체 산업이 더 높은 소자 밀도, 고성능 및 저비용을 추구하여 나노미터 기술 공정 노드로 진보됨에 따라서, 제조 및 설계 문제의 난제는 핀(fin) 전계 효과 트랜지스터(핀 FET), 및 하이-k(high-k)(높은 유전 상수) 재료를 갖춘 금속 게이트 구조물의 사용과 같은 3차원 설계의 개발을 가져왔다. 금속 게이트 구조물은 가끔 게이트 교체 기술을 이용하여 제조된다.
본 발명의 과제는 반도체 소자 제조 방법, 특히 금속 게이트 구조물의 구조 및 제조 방법을 제공하는 것이다.
본 발명의 일 실시예에 따르면,
반도체 소자를 제조하는 방법에 있어서,
더미 게이트 구조물을 기판 위에 형성하는 단계;
소스/드레인 영역을 형성하는 단계;
상기 더미 게이트 구조물 및 상기 소스/드레인 영역 위에 제1 절연층을 형성하는 단계;
게이트 스페이스를 형성하기 위해 상기 더미 게이트 구조물을 제거하는 단계;
상기 게이트 스페이스를 제1 금속층으로 채우는 단계;
게이트 오목부를 형성하도록, 채워진 제1 금속층을 오목하게 하는 단계;
상기 게이트 오목부 내의 제1 금속층 위에 제2 금속층을 형성하는 단계;
상기 게이트 오목부 내의 제2 금속층 위에 제2 절연층을 형성하는 단계
를 포함하는 반도체 소자 제조 방법이 제공된다.
본 발명의 다른 일 실시예에 따르면,
반도체 소자를 제조하는 방법에 있어서,
제1 더미 게이트 구조물과 제2 더미 게이트 구조물을 기판 위에 형성하는 단계;
소스/드레인 영역을 형성하는 단계;
상기 제1 더미 게이트 구조물 및 제2 더미 게이트 구조물과 상기 소스/드레인 영역 위에 제1 절연층을 형성하는 단계;
제1 게이트 스페이스 및 제2 게이트 스페이스를 형성하기 위해 상기 제1 더미 게이트 구조물 및 제2 더미 게이트 구조물을 제거하는 단계;
상기 제1 게이트 스페이스 내에 제1 금속층을 형성하는 단계;
상기 제1 게이트 스페이스 및 제2 게이트 스페이스 내에 제2 금속층을 형성하는 단계;
상기 제1 금속층 및 제2 금속층을 형성한 후에, 상기 제1 게이트 스페이스 및 제2 게이트 스페이스를 제3 금속층으로 채우는 단계;
제1 게이트 오목부를 형성하기 위해 상기 제1 게이트 스페이스에 형성된 상기 제1 금속층, 제2 금속층 및 제3 금속층을 오목하게 하고, 제2 게이트 오목부를 형성하기 위해 상기 제2 게이트 스페이스에 형성된 상기 제1 금속층 및 제3 금속층을 오목하게 하는 단계;
제1 게이트 전극과 제2 게이트 전극을 형성하기 위해 상기 제1 게이트 오목부 및 제2 게이트 오목부 내에 제4 금속층을 형성하는 단계;
상기 제1 게이트 오목부 및 제2 게이트 오목부 내의 상기 제4 금속층 위에 제2 절연층을 형성하는 단계
를 포함하는 반도체 소자 제조 방법이 제공된다.
본 발명의 또 다른 일 실시예에 따르면,
반도체 소자에 있어서,
제1 게이트 유전체 층 및 제1 게이트 전극을 포함한 제1 전계 효과 트랜지스터(FET)
를 포함하고,
상기 제1 게이트 전극은 제1 하부 금속층 및 제1 상부 금속층을 포함하고,
상기 제1 하부 금속층은, 상기 제1 게이트 유전체 층과 접촉하는 제1 기초 금속층 및 제1 벌크 금속층을 포함하고,
상기 제1 상부 금속층의 바닥은 상기 제1 기초 금속층의 상부 표면 및 상기 제1 벌크 금속층의 상부 표면과 접촉하는 것인 반도체 소자가 제공된다.
본 발명은 첨부 도면과 함께 읽을 때 이하의 상세한 설명으로부터 최상으로 이해된다. 산업계의 표준 관례에 따라서, 각종 특징(feature)들은 정확한 축척으로 도시되지 않았고 예시 목적으로만 사용된다는 점을 강조한다. 사실, 각종 특징들의 치수는 설명의 명확성을 위해 임의로 확대 또는 축소될 수 있다.
도 1a 내지 도 12는 본 발명의 일 실시형태에 따른 반도체 소자의 예시적인 순차적 제조 공정을 보인 도면이다. 도 1b 내지 도 12는 도 1a의 선 X1-X1에 대응하는 횡단면도이다.
본 명세서는 본 발명의 다양한 특징들을 구현하기 위한 여러 가지의 상이한 실시형태 또는 예를 제공한다는 것을 이해할 것이다. 본 명세서에서의 설명을 단순화하기 위해 컴포넌트 및 배열의 특정 실시형태 또는 예가 이하에서 설명된다. 물론, 이러한 예는 단순히 예일 뿐이고 제한하려는 의도가 아니다. 예를 들면, 요소들의 치수는 여기에서 개시하는 범위 또는 값으로 제한되는 것이 아니고 소자의 처리 조건 및/또는 바람직한 특성에 의존할 수 있다. 더욱이, 이어지는 설명에서 제2 특징 위에 제1 특징을 형성하는 것은 제1 특징과 제2 특징이 직접 접촉하게 형성되는 실시형태를 포함할 수 있고, 상기 제1 특징과 제2 특징이 직접 접촉될 수 없도록 상기 제1 특징과 제2 특징 사이에 추가의 특징이 형성되는 실시형태를 또한 포함할 수 있다. 각종 특징들은 단순성 및 명확성을 위해 다른 축척으로 임의로 작도될 수 있다.
또한, 공간적으로 관계있는 용어, 예를 들면, "아래", "하위", "하부", "상위", "상부" 등이, 도면에 예시된 다른 요소(들) 또는 특징(들)에 대한 하나의 요소 또는 특징의 관계를 묘사하기 위한 설명의 용이성을 위해 여기에서 사용될 수 있다. 공간적으로 관계있는 용어들은 도면에 도시된 방위 외에 사용 또는 작동 중인 소자의 다른 방위를 포함하는 것으로 의도된다. 장치는 다른 방식으로(90도 또는 다른 방위로 회전하여) 배향될 수 있고 여기에서 사용하는 공간적으로 관계있는 서술어는 그에 따라서 동일한 방식으로 해석될 수 있다. 또한, 용어 "…로 이루어진"은 "…을 포함한" 또는 "…로 구성된"을 의미할 수 있다.
도 1a 내지 도 12는 본 발명의 일 실시형태에 따른 반도체 소자의 예시적인 순차적 제조 공정을 보인 것이다. 도 1b 내지 도 12는 도 1a의 선 X1-X1에 대응하는 횡단면도이다. 추가의 작동이 도 1a 내지 도 12에 의해 나타낸 공정 전에, 공정 중에 그리고 공정 후에 제공될 수 있고, 뒤에서 설명하는 작동들 중 일부는 추가적인 방법 실시형태에서 교체 또는 제거될 수 있다는 점을 이해해야 한다. 작동/공정의 순서는 상호 교환적일 수 있다.
도 1a는 더미 게이트 구조물을 기판 위에 형성한 후의 반도체 소자 구조의 상면도(평면도)이다. 도 1a 및 도 1b에서, 더미 게이트 구조물(40, 41, 42)은 채널 층, 예를 들면, 핀(fin) 구조물(20)의 일부 위에 형성된다. 각각의 더미 게이트 구조물(40, 41, 42)은 n-채널 FET, p-채널 FET 및 n형 롱채널 FET에 대응한다.
핀 구조물(20)은 기판(10) 위에 형성되고 격리 절연층(30)으로부터 연장된다. 설명의 목적상 더미 게이트 구조물(40, 41, 42)은 동일한 핀 구조물(20) 위에 형성되지만, 일부 실시형태에 있어서, 더미 게이트 구조물(40, 41, 42)은 각각 다른 핀 구조물 위에 형성될 수 있다. 유사하게, 비록 도 1a에서는 2개의 핀 구조물(20)이 제시되어 있지만, 하나의 게이트 구조물 당 핀 구조물의 개수는 2개로 제한되지 않고 1개 또는 3개 이상일 수도 있다.
기판(10)은 예를 들면 불순물 농도가 약 1×1015cm-3 내지 약 1×1018cm-3의 범위에 있는 p형 실리콘 기판이다. 다른 실시형태에 있어서, 기판은 불순물 농도가 약 1×1015cm-3 내지 약 1×1018cm-3의 범위에 있는 n형 실리콘 기판이다. 대안으로, 기판은 게르마늄과 같은 다른 기본 반도체; SiC 및 SiGe와 같은 IV-IV족 화합물 반도체를 포함한 화합물 반도체; GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP 및/또는 GaInAsP와 같은 III-V족 화합물 반도체; 또는 이들의 조합을 포함할 수 있다. 일 실시형태에 있어서, 기판은 SOI(silicon-on insulator) 기판의 실리콘 층이다.
핀 구조물(20)은 기판을 트렌치 에칭함으로써 형성될 수 있다. 핀 구조물(20)을 형성한 후에, 격리 절연층(30)이 핀 구조물(20) 위에 형성된다. 격리 절연층(30)은 저압 화학 기상 증착(LPCVD), 플라즈마-CVD 또는 유동성(flowable)-CVD에 의해 형성된 실리콘 산화물, 실리콘 산질화물 또는 실리콘 질화물과 같은 절연 재료의 하나 이상의 층을 포함한다. 격리 절연층은 SOG(spin-on-glass), SiO, SiON, SiOCN 및/또는 플루오르 도핑형 실리케이트 글라스(FSG)의 하나 이상의 층에 의해 형성될 수 있다.
핀 구조물(20) 위에 격리 절연층(30)을 형성한 후에, 격리 절연층(30)의 일부를 제거하기 위해 평탄화 작업이 수행된다. 평탄화 작업은 화학적 기계적 연마(CMP) 및/또는 에치백 공정을 포함할 수 있다. 그 다음에, 핀 구조물(20)의 상부 영역이 노출되도록 격리 절연층(30)이 더욱 제거된다(오목하게 됨).
그 다음에, 노출된 핀 구조물(20) 위에 더미 게이트 구조물(40, 41, 42)이 형성된다. 더미 게이트 구조물은 폴리 실리콘으로 이루어진 더미 게이트 전극 층(44), 및 더미 게이트 유전체 층(43)을 포함한다. 절연 재료의 하나 이상의 층을 포함한 측벽 스페이서(48)가 더미 게이트 전극 층의 측벽 상에 또한 형성된다. 측벽 스페이서(48)는 SiN, SiON, SiCN 및 SiOCN을 포함한 실리콘 질화물 기반 재료와 같은 절연 재료의 하나 이상의 층을 포함한다. 측벽 스페이서의 바닥에서 측벽 스페이서(48)의 막 두께는 일부 실시형태에서 약 3nm 내지 약 15nm의 범위에 있고, 다른 실시형태에서 약 4nm 내지 약 8nm의 범위에 있다.
더미 게이트 구조물은 더미 게이트 전극 층에 폴리 실리콘층을 패터닝하기 위해 사용하는 마스크 절연층(46)을 또한 포함한다. 마스크 절연층(46)의 막 두께는 일부 실시형태에서 약 10nm 내지 약 30nm의 범위에 있고, 다른 실시형태에서 약 15nm 내지 약 20nm의 범위에 있다.
도 2에 도시된 바와 같이, 더미 게이트 구조물이 형성된 후에 소스/드레인 영역(60)이 형성된다. 본 명세서에서 소스와 드레인은 상호 교환적으로 사용되고, 용어 소스/드레인은 소스와 드레인 중 어느 하나를 말한다. 일부 실시형태에 있어서, 더미 게이트 구조물로 덮이지 않은 핀 구조물(20)은 격리 절연층(30)의 상부 표면 아래로 오목하게 된다. 그 다음에, 상기 오목해진 핀 구조물 위에 에피택셜 성장법을 이용하여 소스/드레인 영역(60)이 형성된다. 소스/드레인 영역(60)은 채널 영역에 응력을 인가하기 위해 변형 재료(strain material)를 포함할 수 있다.
그 다음에, 도 3에 도시된 바와 같이, 제1 에칭 정지 층(etching stop layer, ESL)(70) 및 제1 층간 절연(interlayer insulating, ILD) 층(75)이 더미 게이트 구조물 및 소스/드레인 영역 위에 형성된다. 제1 ESL(70)은 SiN, SiCN 및 SiOCN을 포함한 실리콘 질화물 기반 재료와 같은 절연 재료의 하나 이상의 층을 포함한다. 제1 ESL(70)의 두께는 일부 실시형태에서 약 3nm 내지 약 10nm의 범위에 있다. 제1 ILD 층(75)은 이산화실리콘(SiO2) 및 SiON을 포함한 실리콘 산화물 기반 재료와 같은 절연 재료의 하나 이상의 층을 포함한다.
제1 ILD 층(75) 및 ESL(70)에서의 평탄화 작업 후에, 도 4에 도시된 바와 같이 게이트 스페이스(81, 82, 83)를 만들기 위해 더미 게이트 구조물이 제거된다. 도 4에 도시된 바와 같이, 게이트 측벽 스페이서(48)는 게이트 스페이스에 남는다.
그 다음에, 도 5에 도시된 바와 같이, 게이트 유전체 층(85)이 형성된다. 게이트 유전체 층(85)은 하이-k 금속 산화물과 같은 유전체 재료의 하나 이상의 층을 포함한다. 하이-k 유전체용으로 사용되는 금속 산화물의 예로는 Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu의 산화물 및/또는 이들의 혼합물이 있다. 일부 실시형태에 있어서, 예컨대 실리콘 산화물로 이루어진 계면층(도시 생략됨)이 게이트 유전체 층(85)을 형성하기 전에 핀 구조물(채널 영역) 위에 형성된다.
또한, p-채널 FET용의 제1 일함수 조정(work function adjustment, WFA) 층(90)이 게이트 스페이스(82)에 형성된다. 적당한 도전성 재료로 된 블랭킷 층이 게이트 스페이스와 제1 ILD 층(75) 위에 형성되고, 리소그래피 및 에칭을 포함한 패터닝 작업이 수행되어 게이트 스페이스(82)(및 주변 영역)에서 p-채널 FET용의 제1 WFA 층(90)을 형성한다. 제1 WFA 층(90)은 도전성 재료의 하나 이상의 층을 포함한다. p-채널 FET용의 제1 WFA 층(90)의 예로는 Ti, TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC 및 Co가 있다. 일 실시형태에서는 Ti가 사용된다. 제1 WFA 층(90)의 두께는 일부 실시형태에서 약 3nm 내지 약 10nm의 범위에 있다. 제1 WFA 층(90)은 화학 기상 증착(CVD), 스퍼터링을 포함한 물리 기상 증착(PVD), 원자층 증착(ALD) 또는 다른 적당한 방법에 의해 형성될 수 있다. 도 5에 도시된 바와 같이 제1 WFA 층(90)은 게이트 스페이스(82) 내에서 공형으로(conformally) 형성된다.
그 다음에, n-채널 FET용의 제2 WFA 층(95)이 게이트 스페이스(81, 83)에 형성된다. 적당한 도전성 재료로 된 블랭킷 층이 게이트 스페이스와 제1 WFA 층(90) 위에 형성되고, 리소그래피 및 에칭을 포함한 패터닝 작업이 수행되어 게이트 스페이스(81, 83)(및 주변 영역)에서 n-채널 FET용의 제2 WFA 층(95)을 형성한다. 제2 WFA 층(95)은 도전성 재료의 하나 이상의 층을 포함한다. n-채널 FET용의 제2 WFA 층(95)의 예로는 TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi 또는 TiAlC가 있다. 일 실시형태에서는 TiN이 사용된다. 제2 WFA 층(95)의 두께는 일부 실시형태에서 약 3nm 내지 약 10nm의 범위에 있다. 제2 WFA 층(95)은 화학 기상 증착(CVD), 스퍼터링을 포함한 물리 기상 증착(PVD), 원자층 증착(ALD) 또는 다른 적당한 방법에 의해 형성될 수 있다. 도 5에 도시된 바와 같이 제2 WFA 층(95)은 게이트 스페이스(81, 83) 내에서 공형으로 형성된다. 제1 WFA 층(90)과 제2 WFA 층(95)을 형성하는 순서는 바뀔 수 있다는 점에 주목한다. 제2 WFA 층(95)은 제1 WFA 층(90)과 상이한 재료로 이루어진다.
그 다음에, 도 6에 도시된 바와 같이, 제1 금속층(100)용의 제1 금속 재료(101)가 도 5의 구조물 위에 형성된다. 제1 금속 재료는 Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlC, TiAlN, TaN, NiSi, CoSi 또는 다른 도전성 재료와 같은 금속 재료의 하나 이상의 층을 포함한다. 일 실시형태에서는 TiN이 사용된다. 제1 금속 재료는 CVD, PVD, ALD, 전기도금 또는 다른 적당한 방법에 의해 형성된다. 제1 금속층(100)은 제1 WFA 층 및 제2 WFA 층 중의 적어도 하나와 상이한 재료로 이루어진다.
그 다음에, 도 7에 도시된 바와 같이, 증착된 제1 금속 재료(101)의 상부를 제거하기 위해 평탄화 작업이 수행된다. 평탄화 작업 후에 제1 금속층(100)이 각각의 게이트 스페이스에 형성된다. 평탄화 작업은 화학적 기계적 연마(CMP) 및/또는 에치백 공정을 포함할 수 있다.
각각의 게이트 스페이스를 제1 금속층(100)으로 채운 후에, 도 8에 도시된 바와 같이 게이트 오목부(87, 88, 89)를 형성하도록 제1 금속층(100)이 오목하게 된다(에치백됨). 제1 금속층(100)의 상부는 건식 에칭 및/또는 습식 에칭을 이용하여 에칭된다. 오목하게 된 부분의 크기(깊이)(D1)는 일부 실시형태에서 약 20nm 내지 약 50nm의 범위에 있고, 핀 구조물(20)의 표면으로부터 남아있는 제1 금속층의 높이(H1)는 일부 실시형태에서 약 30nm 내지 약 60nm의 범위에 있다.
오목부 에칭 중에, 제1 WFA 층(90)과 제2 WFA 층(95)도 또한 에칭된다.
그 다음에, 도 9에 도시된 바와 같이, 제2 금속층(110)용의 제2 금속 재료(111)가 도 8의 구조물 위에 형성된다. 제2 금속 재료는 Al, Cu, Co, W, Ti, Ta, TiN, TiAl, TiAlC, TiAlN, TaN, NiSi, CoSi 또는 다른 도전성 재료와 같은 금속 재료의 하나 이상의 층을 포함한다. 일 실시형태에서는 W 또는 Co가 사용된다. 제2 금속 재료는 CVD, PVD, ALD, 전기도금 또는 다른 적당한 방법으로 형성된다. 제2 금속 재료(111)는 제1 금속 재료(그리고 제1 WFA 층 및 제2 WFA 층)과 상이한 재료로 이루어지고, Cl 및/또는 F를 함유한 가스에 대하여 제1 금속 재료(101)(그리고 제1 WFA 층 및 제2 WFA 층)보다 더 높은 내구성을 갖는다.
후속하여, 증착된 제2 금속 재료(111)의 상부를 제거하기 위해 평탄화 작업이 수행된다. 평탄화 작업 후에 제2 금속층(110)이 각각의 게이트 스페이스에 형성된다. 평탄화 작업은 화학적 기계적 연마(CMP) 및/또는 에치백 공정을 포함할 수 있다.
평탄화된 제2 금속층(110)은 도 10에 도시된 바와 같이 에치백 작업을 이용하여 게이트 스페이스에서 더욱 오목하게 된다. 오목하게 된 부분의 크기(깊이)(D2)는 일부 실시형태에서 약 10nm 내지 약 40nm의 범위에 있고, 제1 금속층(100)의 상부 표면으로부터 남아있는 제2 금속층(110)의 두께(T1)는 일부 실시형태에서 약 10nm 내지 약 30nm의 범위에 있다. 도 10에 도시된 바와 같이, 제2 금속층(110)의 바닥은 제1 금속층(100)의 상부 표면 및 제1 WFA 층(90) 및/또는 제2 WFA 층(95)의 상부 표면과 접촉한다.
그 다음에, 도 11에 도시된 바와 같이, 캡 절연층(120)이 제2 금속층(110) 위에 형성된다. 캡 절연층(120)은 SiN, SiCN 및 SiOCN을 포함한 실리콘 질화물 기반 재료와 같은 절연 재료의 하나 이상의 층을 포함한다.
캡 절연층(120)을 형성하기 위해, 두께가 비교적 큰, 절연 재료의 블랭킷 층이 도 10의 구조물 위에 형성되고, CMP와 같은 평탄화 작업이 수행된다.
그 다음에, 제2 ILD(130)가 도 11의 구조물 위에 형성되고, 비아 홀(via hole)을 형성하기 위해 패터닝 작업이 수행된다. 비아 홀은 도 12에 도시된 바와 같이 비아 플러그(via plug; 140, 142, 144, 146, 148)를 형성하도록 하나 이상의 도전성 재료로 채워진다. 또한, 하나 이상의 금속 배선(도시 생략됨)이 상기 비아 플러그 위에 각각 형성된다. 비아 플러그 및 금속 배선을 형성하기 위해 이중 다마신법(dual damascene method)을 이용할 수 있다.
전술한 실시형태에 있어서, 상기 제2 금속층은 블랭킷 증착, 평탄화 작업 및 에치백 작업을 이용하여 형성된다. 다른 실시형태에 있어서, 상기 제2 금속층은 상기 제1 금속층 위에 직접 형성된다. 예를 들면, 도 8의 구조물이 형성된 후에, 도 10에 도시된 구조물을 얻기 위해 단지 게이트 스페이스에서만 제1 금속층 위에 제2 금속층을 형성하도록 W 또는 Co의 선택적 증착이 이용된다. 예를 들면, ALD법을 이용함으로써, Co 및 W가 금속층(90, 95, 100)에서 선택적으로 성장될 수 있는 반면, SiO2, SiN 또는 다른 유전체 재료 상에는 Co 또는 W가 성장되지 않는다.
도 12에 도시된 소자는 상호접속 금속층, 유전체 층, 패시베이션 층 등과 같은 각종 특징을 형성하기 위해 추가의 CMOS 처리를 거치는 것으로 이해된다. 전술한 실시형태에서 핀 FET의 제조 작업을 설명하였다. 그러나, 전술한 제조 공정은 평면형 FET와 같은 다른 유형의 FET에도 적용될 수 있다.
여기에서 설명한 각종 실시형태 또는 실시예는 기존 기술에 비하여 몇 가지 장점을 제공한다. 예를 들면, 본 발명에서, 도 12에 도시된 바와 같이, 비아 플러그(140, 144, 148)는 제2 금속층(110)과 접촉한다. 비아 플러그(140, 144, 148)를 위한 비아 홀이 형성될 때, Cl 및/또는 F를 함유하는 가스를 이용한 건식 에칭이 사용된다. Cl 또는 F에 대하여 내구성이 더 높은 제2 금속층(110)이 사용되지 않으면, 접촉공(contact hole)의 바닥에서 노출된 Ti 층 또는 TiN 층은 에칭 가스의 Cl 성분 또는 F 성분에 의해 손상될 것이다(예를 들면, 부식이 발생됨). 이와 대조적으로, 본 실시형태에서는 Ti 및 TiN보다 Cl 또는 F에 대하여 내구성이 더 높은 제2 금속층(110)을 사용하기 때문에 Ti 또는 TiN에 대한 손상이 방지될 수 있다.
모든 장점들이 여기에서 필수적으로 설명되지 않았고, 특정 장점들이 모든 실시형태 또는 실시예에 대하여 요구되지 않으며, 다른 실시형태 또는 실시예는 다른 장점을 제공할 수 있다는 것을 이해할 것이다.
본 발명의 일 양태에 따르면, 반도체 소자를 제조하는 방법에 있어서, 더미 게이트 구조물이 기판 위에 형성된다. 소스/드레인 영역이 형성된다. 제1 절연층이 상기 더미 게이트 구조물 및 소스/드레인 영역 위에 형성된다. 게이트 스페이스를 형성하기 위해 상기 더미 게이트 구조물이 제거된다. 게이트 스페이스가 제1 금속층으로 채워진다. 채워진 제1 금속층은 게이트 오목부를 형성하도록 오목하게 된다. 제2 금속층이 게이트 오목부 내의 제1 금속층 위에 형성된다. 제2 절연층이 게이트 오목부 내의 제2 금속층 위에 형성된다.
본 발명의 다른 양태에 따르면, 반도체 소자를 제조하는 방법에 있어서, 제1 더미 게이트 구조물과 제2 더미 게이트 구조물이 기판 위에 형성된다. 소스/드레인 영역이 형성된다. 제1 절연층이 상기 제1 더미 게이트 구조물 및 제2 더미 게이트 구조물과 상기 소스/드레인 영역 위에 형성된다. 제1 게이트 스페이스 및 제2 게이트 스페이스를 형성하기 위해 상기 제1 더미 게이트 구조물 및 제2 더미 게이트 구조물이 제거된다. 제1 금속층이 제1 게이트 스페이스에서 형성되고, 제2 금속층이 제1 게이트 스페이스 및 제2 게이트 스페이스에서 형성된다. 상기 제1 금속층 및 제2 금속층을 형성한 후에, 상기 제1 게이트 스페이스 및 제2 게이트 스페이스가 제3 금속층으로 채워진다. 제1 게이트 스페이스에서 형성된 제1 금속층, 제2 금속층 및 제3 금속층은 제1 게이트 오목부를 형성하도록 오목하게 되고, 제2 게이트 스페이스에 형성된 상기 제1 금속층 및 제3 금속층은 제2 게이트 오목부를 형성하도록 오목하게 된다. 제1 게이트 전극과 제2 게이트 전극을 형성하기 위해 제4 금속층이 상기 제1 게이트 오목부 및 제2 게이트 오목부에 형성된다. 제2 절연층이 상기 제1 게이트 오목부 및 제2 게이트 오목부 내의 제4 금속층 위에 형성된다.
본 발명의 또 다른 양태에 따르면, 반도체 소자는 제1 게이트 유전체 층 및 제1 게이트 전극을 포함한 제1 전계 효과 트랜지스터(FET)를 포함한다. 제1 게이트 전극은 제1 하부 금속층 및 제1 상부 금속층을 포함한다. 상기 제1 하부 금속층은 제1 게이트 유전체 층과 접촉하는 제1 기초 금속층 및 제1 벌크 금속층을 포함한다. 상기 제1 상부 금속층의 바닥은 상기 제1 기초 금속층의 상부 표면 및 상기 제1 벌크 금속층의 상부 표면과 접촉한다.
지금까지 당업자가 본 발명의 각종 양태를 잘 이해할 수 있도록 몇 가지 실시형태 또는 실시예의 특징들을 개괄하였다. 당업자라면 여기에서 소개한 실시형태 또는 실시예와 동일한 목적을 달성하고 및/또는 동일한 장점을 성취하는 다른 처리 및 구조의 설계 또는 수정을 위한 기초로서 본 명세서의 설명을 쉽게 이용할 수 있다는 것을 인식할 것이다. 또한, 당업자라면, 그러한 등가적인 구성이 본 발명의 정신 및 범위로부터 벗어나지 않는다는 점, 그리고 본 발명의 정신 및 범위로부터 벗어나지 않으면서 여기에서 설명한 실시형태의 각종 변경, 치환 및 개조가 가능하다는 점을 인식할 것이다.

Claims (10)

  1. 반도체 소자를 제조하는 방법에 있어서,
    더미 게이트 구조물을 기판 위에 형성하는 단계;
    소스/드레인 영역을 형성하는 단계;
    상기 더미 게이트 구조물 및 상기 소스/드레인 영역 위에 제1 절연층을 형성하는 단계;
    게이트 스페이스를 형성하기 위해 상기 더미 게이트 구조물을 제거하는 단계;
    상기 게이트 스페이스를 제1 금속층으로 채우는 단계;
    게이트 오목부를 형성하도록, 채워진 제1 금속층을 오목하게 하는 단계;
    상기 게이트 오목부 내의 제1 금속층 위에 제2 금속층을 형성하는 단계;
    상기 게이트 오목부 내의 제2 금속층 위에 제2 절연층을 형성하는 단계
    를 포함하는 반도체 소자 제조 방법.
  2. 제1항에 있어서, 상기 제1 금속층의 재료는 상기 제2 금속층의 재료와 다른 것인 반도체 소자 제조 방법.
  3. 제1항에 있어서,
    상기 제1 금속층을 형성하기 전에 상기 게이트 스페이스 내에 제3 금속층을 형성하는 단계
    를 더 포함하고,
    상기 제2 금속층의 바닥은 상기 제1 금속층의 상부 표면 및 상기 제3 금속층의 상부 표면과 접촉하는 것인 반도체 소자 제조 방법.
  4. 제1항에 있어서, 상기 제1 금속층 위에 상기 제2 금속층을 형성하는 단계는,
    상기 게이트 오목부 내에 그리고 상기 제1 절연층 위에 상기 제2 금속층용의 금속 재료의 블랭킷 층을 형성하는 단계;
    상기 제2 금속층의 상부 표면이 상기 제1 절연층의 상부 표면보다 아래에 위치하도록 상기 금속 재료의 상부를 제거하는 단계
    를 포함하는 것인 반도체 소자 제조 방법.
  5. 제1항에 있어서, 상기 제1 금속층 위에 상기 제2 금속층을 형성하는 단계는,
    금속 재료가 상기 게이트 오목부를 부분적으로 채우도록 그리고 상기 제2 금속층의 상부 표면이 상기 제1 절연층의 상부 표면보다 아래에 위치하도록 상기 게이트 오목부 내에 상기 제2 금속층용의 금속 재료를 형성하는 단계
    를 포함하는 것인 반도체 소자 제조 방법.
  6. 제1항에 있어서,
    상기 더미 게이트 구조물의 측벽 상에 게이트 측벽 스페이서를 형성하는 단계
    를 더 포함하고,
    상기 제2 금속층의 상부 표면은 상기 게이트 측벽 스페이서의 상부 표면보다 아래에 위치되는 것인 반도체 소자 제조 방법.
  7. 반도체 소자를 제조하는 방법에 있어서,
    제1 더미 게이트 구조물과 제2 더미 게이트 구조물을 기판 위에 형성하는 단계;
    소스/드레인 영역을 형성하는 단계;
    상기 제1 더미 게이트 구조물 및 제2 더미 게이트 구조물과 상기 소스/드레인 영역 위에 제1 절연층을 형성하는 단계;
    제1 게이트 스페이스 및 제2 게이트 스페이스를 형성하기 위해 상기 제1 더미 게이트 구조물 및 제2 더미 게이트 구조물을 제거하는 단계;
    상기 제1 게이트 스페이스 내에 제1 금속층을 형성하는 단계;
    상기 제1 게이트 스페이스 및 제2 게이트 스페이스 내에 제2 금속층을 형성하는 단계;
    상기 제1 금속층 및 제2 금속층을 형성한 후에, 상기 제1 게이트 스페이스 및 제2 게이트 스페이스를 제3 금속층으로 채우는 단계;
    제1 게이트 오목부를 형성하기 위해 상기 제1 게이트 스페이스에 형성된 상기 제1 금속층, 제2 금속층 및 제3 금속층을 오목하게 하고, 제2 게이트 오목부를 형성하기 위해 상기 제2 게이트 스페이스에 형성된 상기 제1 금속층 및 제3 금속층을 오목하게 하는 단계;
    제1 게이트 전극과 제2 게이트 전극을 형성하기 위해 상기 제1 게이트 오목부 및 제2 게이트 오목부 내에 제4 금속층을 형성하는 단계;
    상기 제1 게이트 오목부 및 제2 게이트 오목부 내의 상기 제4 금속층 위에 제2 절연층을 형성하는 단계
    를 포함하는 반도체 소자 제조 방법.
  8. 반도체 소자에 있어서,
    제1 게이트 유전체 층 및 제1 게이트 전극을 포함한 제1 전계 효과 트랜지스터(FET)
    를 포함하고,
    상기 제1 게이트 전극은 제1 하부 금속층 및 제1 상부 금속층을 포함하고,
    상기 제1 하부 금속층은, 상기 제1 게이트 유전체 층과 접촉하는 제1 기초 금속층 및 제1 벌크 금속층을 포함하고,
    상기 제1 상부 금속층의 바닥은 상기 제1 기초 금속층의 상부 표면 및 상기 제1 벌크 금속층의 상부 표면과 접촉하는 것인 반도체 소자.
  9. 제8항에 있어서, 상기 제1 기초 금속층은 Ti를 포함하고,
    상기 제1 벌크 금속층은 TiN을 포함하고,
    상기 제1 상부 금속층은 Co, W, Ti, Al 및 Cu 중 적어도 하나를 포함하는 것인 반도체 소자.
  10. 제8항에 있어서,
    제2 게이트 유전체 층 및 제2 게이트 전극을 포함한 제2 FET
    를 더 포함하고,
    상기 제2 게이트 전극은 제2 하부 금속층 및 제2 상부 금속층을 포함하고,
    상기 제2 하부 금속층은, 상기 제2 게이트 유전체 층과 접촉하는 제2 기초 금속층, 제3 기초 금속층 및 제2 벌크 금속층을 포함하고,
    상기 제2 상부 금속층의 바닥은 상기 제2 기초 금속층의 상부 표면, 상기 제3 기초 금속층의 상부 표면 및 상기 제2 벌크 금속층의 상부 표면과 접촉하는 것인 반도체 소자.
KR1020160135536A 2015-12-28 2016-10-19 반도체 소자 및 그 제조 방법 KR20170077771A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562272031P 2015-12-28 2015-12-28
US62/272,031 2015-12-28
US15/063,346 US10134872B2 (en) 2015-12-28 2016-03-07 Semiconductor device and a method for fabricating the same
US15/063,346 2016-03-07

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190168578A Division KR102158124B1 (ko) 2015-12-28 2019-12-17 반도체 소자 및 그 제조 방법

Publications (1)

Publication Number Publication Date
KR20170077771A true KR20170077771A (ko) 2017-07-06

Family

ID=59087408

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160135536A KR20170077771A (ko) 2015-12-28 2016-10-19 반도체 소자 및 그 제조 방법
KR1020190168578A KR102158124B1 (ko) 2015-12-28 2019-12-17 반도체 소자 및 그 제조 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020190168578A KR102158124B1 (ko) 2015-12-28 2019-12-17 반도체 소자 및 그 제조 방법

Country Status (4)

Country Link
US (4) US10134872B2 (ko)
KR (2) KR20170077771A (ko)
CN (1) CN106920751A (ko)
TW (1) TWI637518B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190064446A (ko) * 2017-11-30 2019-06-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스용 접촉 플러그 및 그 형성 방법

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10134872B2 (en) 2015-12-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10818557B2 (en) * 2018-07-03 2020-10-27 Globalfoundries Inc. Integrated circuit structure to reduce soft-fail incidence and method of forming same
CN112018036A (zh) * 2019-05-30 2020-12-01 台湾积体电路制造股份有限公司 半导体装置结构的制造方法
US11227792B2 (en) * 2019-09-19 2022-01-18 International Business Machines Corporation Interconnect structures including self aligned vias
KR102636808B1 (ko) 2019-11-11 2024-02-15 주식회사 엘지에너지솔루션 압력 조절 부재를 포함하는 전극 슬러리 코팅 장치 및 방법
US11387140B2 (en) * 2020-03-18 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Enlarging contact area and process window for a contact via
US11430866B2 (en) * 2020-03-26 2022-08-30 Intel Corporation Device contact sizing in integrated circuit structures
US11563109B2 (en) 2021-02-19 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150357434A1 (en) * 2014-01-30 2015-12-10 International Business Machines Corporation Replacement metal gate including dielectric gate material

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6812106B1 (en) 2003-01-14 2004-11-02 Advanced Micro Devices, Inc. Reduced dopant deactivation of source/drain extensions using laser thermal annealing
JP2007129038A (ja) 2005-11-02 2007-05-24 Sony Corp 半導体装置およびその製造方法
US8779530B2 (en) 2009-12-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a field effect transistor
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8765585B2 (en) * 2011-04-28 2014-07-01 International Business Machines Corporation Method of forming a borderless contact structure employing dual etch stop layers
JP2013012686A (ja) 2011-06-30 2013-01-17 Panasonic Corp 半導体装置及びその製造方法
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US8748302B2 (en) 2012-02-21 2014-06-10 Globalfoundries Inc. Replacement gate approach for high-k metal gate stacks by using a multi-layer contact level
US20130309856A1 (en) 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US9530654B2 (en) 2013-04-15 2016-12-27 Globalfoundaries Inc. FINFET fin height control
US9076766B2 (en) 2013-06-13 2015-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanism for forming metal gate structure
US9105497B2 (en) * 2013-09-04 2015-08-11 Globalfoundries Inc. Methods of forming gate structures for transistor devices for CMOS applications
US9293551B2 (en) * 2013-11-25 2016-03-22 Globalfoundries Inc. Integrated multiple gate length semiconductor device including self-aligned contacts
US9324577B2 (en) 2014-02-07 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Modified self-aligned contact process and semiconductor device
KR102197402B1 (ko) * 2014-10-14 2020-12-31 삼성전자주식회사 반도체 장치 제조 방법
US9455330B2 (en) * 2014-11-21 2016-09-27 International Business Machines Corporation Recessing RMG metal gate stack for forming self-aligned contact
US20160163603A1 (en) * 2014-12-08 2016-06-09 International Business Machines Corporation Pfet gate stack materials having improved threshold voltage, mobility and nbti performance
KR102454894B1 (ko) 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US10134872B2 (en) * 2015-12-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150357434A1 (en) * 2014-01-30 2015-12-10 International Business Machines Corporation Replacement metal gate including dielectric gate material

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190064446A (ko) * 2017-11-30 2019-06-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스용 접촉 플러그 및 그 형성 방법
US10847413B2 (en) 2017-11-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact plugs for semiconductor device
TWI716768B (zh) * 2017-11-30 2021-01-21 台灣積體電路製造股份有限公司 半導體元件之製造方法
US11756864B2 (en) 2017-11-30 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs for semiconductor device

Also Published As

Publication number Publication date
TWI637518B (zh) 2018-10-01
US20200235225A1 (en) 2020-07-23
US11404558B2 (en) 2022-08-02
US20170186743A1 (en) 2017-06-29
US10529824B2 (en) 2020-01-07
CN106920751A (zh) 2017-07-04
KR20190143427A (ko) 2019-12-30
US10651289B2 (en) 2020-05-12
TW201724514A (zh) 2017-07-01
US20180337254A1 (en) 2018-11-22
KR102158124B1 (ko) 2020-10-15
US10134872B2 (en) 2018-11-20
US20190109211A1 (en) 2019-04-11

Similar Documents

Publication Publication Date Title
US11521970B2 (en) Semiconductor device and a method for fabricating the same
US11443984B2 (en) Semiconductor device and a method for fabricating the same
KR102158124B1 (ko) 반도체 소자 및 그 제조 방법
KR101993958B1 (ko) 반도체 디바이스 및 그 제조 방법
US10163718B2 (en) Semiconductor device and a method for fabricating the same
US20170154967A1 (en) Semiconductor device and a method for fabricating the same
US10157845B2 (en) Method for fabricating a local interconnect in a semiconductor device
CN111261705B (zh) 半导体元件及其制造方法
US20170256568A1 (en) Semiconductor device and a method for fabricating the same
US11935787B2 (en) Semiconductor device and a method for fabricating the same
CN114242590A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment