KR20170054267A - 써모커플의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들 - Google Patents

써모커플의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR20170054267A
KR20170054267A KR1020160141724A KR20160141724A KR20170054267A KR 20170054267 A KR20170054267 A KR 20170054267A KR 1020160141724 A KR1020160141724 A KR 1020160141724A KR 20160141724 A KR20160141724 A KR 20160141724A KR 20170054267 A KR20170054267 A KR 20170054267A
Authority
KR
South Korea
Prior art keywords
filter
signal
temperature
output
radio frequency
Prior art date
Application number
KR1020160141724A
Other languages
English (en)
Other versions
KR102539157B1 (ko
Inventor
빈스 버크하트
크리스토퍼 람세이어
모한 틸라가라즈
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170054267A publication Critical patent/KR20170054267A/ko
Priority to KR1020230068535A priority Critical patent/KR20230079341A/ko
Application granted granted Critical
Publication of KR102539157B1 publication Critical patent/KR102539157B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/48Generating plasma using an arc
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

제 1 필터 어셈블리 및 제어기를 포함한 회로가 제공된다. 제 1 필터 어셈블리는 인쇄 회로 기판 상에 구현된다. 제 1 필터 어셈블리는 제 1 필터 및 제 2 필터를 포함한다. 제 1 필터는 제 1 신호를 제 1 센서로부터 수신하고, 제 1 신호의 제 1 부분의 통과를 방지하고 그리고 제 2 신호를 출력한다. 제 1 신호의 제 1 부분은 제 1 무선 주파수로 있다. 제 1 신호의 제 2 부분은 플라즈마 챔버 내의 제 1 전극의 제 1 온도를 나타낸다. 제 2 필터는 제 2 신호를 수신하고 그리고 제 2 신호의 부분의 통과를 방지한다. 제 2 신호의 부분은 제 2 무선 주파수로 있다. 제 2 무선 주파수는 제 1 무선 주파수 미만이다. 제어기는 제 2 필터의 출력에 기초하여 제 1 전극의 온도를 조정한다.

Description

열적 커플링의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR FILTERING RADIO FREQUENCIES FROM A SIGNAL OF A THERMOCOUPLE AND CONTROLLING A TEMPERATURE OF AN ELECTRODE IN A PLASMA CHAMBER}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 기판 프로세싱 시스템 내의 전극의 온도들을 제어하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경 기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과, 뿐만 아니라 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들의 에칭, 증착, 및/또는 다른 처리를 수행하도록 사용될 수도 있다. 예시적인 프로세스들은, 이로 제한되지 않지만, CVD (chemical vapor deposition) 프로세스, ALD (atomic layer deposition) 프로세스, 및/또는 다른 에칭 프로세스, 증착 프로세스, 및 세정 프로세스를 포함한다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버 내에서 페데스탈, ESC (electrostatic chuck), 등과 같은 기판 지지부 상에 배치될 수도 있다. 하나 이상의 전구체들을 포함한 상이한 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 그리고 플라즈마는 화학 반응들을 작동시키도록 사용될 수도 있다.
기판의 프로세싱 동안, 기판 프로세싱 시스템의 컴포넌트들 (components) 및 기판의 온도들은 가변할 수도 있다. 이들 온도 변동들은 결과로 발생한 기판들 상에 바람직하지 않은 영향들을 줄 수도 있다. 따라서, 기판 프로세싱 시스템들은 기판 프로세싱 시스템의 컴포넌트들 및 기판의 온도들을 제어하기 위한 시스템들 및 방법들을 구현할 수도 있다.
회로가 제공되고 그리고 회로는 제 1 필터 어셈블리 및 제어기를 포함한다. 제 1 필터 어셈블리는 인쇄 회로 기판 상에서 구현된다. 제 1 필터 어셈블리는 제 1 필터 및 제 2 필터를 포함한다. 제 1 필터는 (i) 제 1 신호를 제 1 센서로부터 수신하고, (ii) 제 1 신호의 제 1 부분의 통과를 방지하고, 그리고 (iii) 제 2 신호를 출력하도록 구성된다. 제 1 신호의 제 1 부분은 제 1 무선 주파수로 있다. 제 1 신호의 제 2 부분은 플라즈마 챔버 내의 제 1 전극의 제 1 온도를 나타낸다. 제 2 필터는 (i) 제 2 신호를 수신하고, 그리고 (ii) 제 2 신호의 부분의 통과를 방지하도록 구성된다. 제 2 신호의 부분은 제 2 무선 주파수로 있다. 제 2 무선 주파수는 제 1 무선 주파수 미만이다. 제어기는 제 2 필터의 출력에 기초하여 제 1 전극의 온도를 조정하도록 구성된다.
다른 특징들에서, 회로가 제공되고 그리고 회로는 제 1 필터 어셈블리 및 제어기를 포함한다. 제 1 필터 어셈블리는 대역 저지 필터 및 저역 통과 필터를 포함한다. 대역 저지 필터는 (i) 제 1 신호를 제 1 센서로부터 수신하고, (ii) 제 1 신호의 제 1 부분의 통과를 방지하고, 그리고 (iii) 제 2 신호를 출력하도록 구성된다. 제 1 신호의 제 1 부분은 제 1 무선 주파수로 있다. 제 1 신호의 제 2 부분은 플라즈마 챔버 내의 제 1 전극의 제 1 온도를 나타낸다. 저역 통과 필터는 (i) 제 2 신호를 수신하고, 그리고 (ii) 제 2 신호의 부분의 통과를 방지하도록 구성된다. 제 2 신호의 부분은 제 2 무선 주파수로 있다. 제 2 무선 주파수는 제 1 무선 주파수 미만이다. 제어기는 저역 통과 필터의 출력에 기초하여 제 1 전극의 온도를 조정하도록 구성된다.
다른 특징들에서, 방법이 제공되고 방법은: 제 1 필터에서 제 1 센서로부터 제 1 신호를 수신하는 단계; 제 1 필터를 통한 제 1 신호의 제 1 부분의 통과를 방지하는 단계로서, 제 1 신호의 제 1 부분은 제 1 무선 주파수로 있고, 그리고 제 1 신호의 제 2 부분은 플라즈마 챔버 내의 제 1 전극의 제 1 온도를 나타내는, 제 1 신호의 제 1 부분의 통과를 방지하는 단계; 제 1 필터로부터 제 2 신호를 출력하는 단계; 및 제 2 필터에서 제 2 신호를 수신하는 단계를 포함한다. 방법은: 제 2 필터를 통한 제 2 신호의 부분의 통과를 방지하는 단계로서, 제 2 신호의 부분은 제 2 무선 주파수로 있고, 제 2 무선 주파수는 제 1 무선 주파수 미만이고, 그리고 제 1 필터 및 제 2 필터는 인쇄 회로 기판 상에서 구현되는, 제 2 신호의 부분의 통과를 방지하는 단계; 및 제 2 필터의 출력에 기초하여 제 1 전극의 온도를 조정하는 단계를 더 포함한다.
본 개시의 적용 가능성의 추가의 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 오직 예시의 목적들을 위해 의도된 것이고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 필터 어셈블리들을 포함한 기판 프로세싱 시스템의 예의 기능 블록도이다.
도 2는 본 개시에 따른 복수의 스테이션들의 전극들을 위한 온도 제어 시스템의 예의 기능 블록도이다.
도 3은 본 개시에 따른 단일의 전극을 위한 온도 제어 시스템의 예의 기능 블록도이다.
도 4는 본 개시에 따른 필터 어셈블리의 폐루프 온도 제어를 예시하는 단일의 전극을 위한 온도 제어 시스템의 예의 기능 블록도이다.
도 5는 본 개시에 따른 필터 어셈블리의 폐루프 온도 제어를 예시하는 단일의 전극을 위한 온도 제어 시스템의 또 다른 예의 기능 블록도이다.
도 6은 본 개시에 따른 열적 커플링의 격리 디바이스 및 필터 어셈블리를 위한 등가 회로도의 예이다.
도 7은 본 개시에 따른 필터 어셈블리의 예의 기능 블록도 및 개략도이다.
도 8은 본 개시에 따른 코어-기반 필터 어셈블리의 예의 측면도이다.
도 9는 본 개시에 따른 온도 제어 방법의 예를 예시한다.
도 10은 종래의 필터링을 사용하여 스테이션 온도들의 예들을 예시한 플롯이다.
도 11은 본 개시에 따른 필터링을 사용하여 스테이션 온도들의 예들을 예시한 플롯이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들 (elements) 을 식별하기 위해 재사용될 수도 있다.
관련 출원들의 상호 참조
본 출원은 2015년 10월 29일 출원된 미국 가출원 제 62/247,979 호의 이점을 주장한다. 상기 언급된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
플라즈마 챔버 내의 입자 퍼포먼스 (performance) 및 쓰루풋을 개선하려는 노력으로, 샤워헤드의 온도는 기판 프로세싱 동안 미리 결정된 온도로 유지된다. 샤워헤드는 샤워헤드를 가열하기 위한 임베딩된 (embedded) 가열기들 및 샤워헤드의 온도를 센싱하기 위한 열적 커플링 (thermocouple) 을 포함할 수도 있다. 개선된 온도 제어는 입자 필요조건들을 충족하면서 증가된 축적 레벨들을 허용한다. 그 결과, 다수의 웨이퍼들은 세정 이벤트들 사이에 프로세싱될 수도 있고, 이는 생산성을 개선한다.
샤워헤드로부터 열적 커플링의 전도체들로 DC의 간헐적인 커플링이 발생할 수도 있다. DC는 전기 사이클의 음 절반과 양 절반 사이에 비대칭을 발생시키는 비선형 전기적 응답 또는 "다이오드 효과"를 유발하는, 고 RF 전위에 의해 유발된다. DC는 또한 DC 전압 공급 소스로부터 공급될 수 있고 그리고 "PRF (particle repulsion field)"로 지칭된다. PRF는 고 RF 전위가 스위치 오프된 (switched OFF) 직후에 입자들을 정전기적으로 완화시키도록 DC 전압을 사용하여 샤워헤드를 대전한다.
열적 커플링이 기판 프로세싱 챔버 및 샤워헤드로부터 절연될 수도 있지만, DC는 열적 커플링의 절연이 감소될 때 열적 커플링 상에 나타나기 시작한다. 절연의 감소는 열적 커플링과 샤워헤드 사이의 커플링 및/또는 DC 누설을 유발한다. 부가적으로, 샤워헤드에 공급된 RF 신호들은 샤워헤드 내에 임베딩된 열적 커플링에 강하게 커플링될 수 있다. 스테이션의 RF 신호들이 그 대응하는 온도 제어기에 도달하기 전에 격리될 수도 있는 동안, RF 신호들은 다른 인근의 스테이션들에 또는 접지 기준에 커플링될 수 있고, 이는 RF 불균형, 아크 (arcing), 및 RF 노이즈를 유발할 수도 있다. RF 전력은 일 스테이션으로부터 또 다른 스테이션으로 상이할 수도 있고 이는 상이한 레벨들의 DC 커플링을 유발한다.
열적 커플링에 의해 출력된 온도 측정치들은 상대적으로 작은 DC 전압들이다. 이들 온도 측정치들은 DC 커플링이 발생할 때 DC 커플링 신호들에 의해 약화된다 (overcome). 본 개시에 따른 온도 제어 시스템들 및 방법들은 DC 커플링의 효과들을 상당히 감소시키는 필터 회로들 및 어셈블리들을 포함한다.
이제 도 1을 참조하면, RF 플라즈마를 사용하여 에칭을 수행하기 위한 기판 프로세싱 시스템 (100) 이 도시된다. PECVD 챔버가 도시되지만, 본 명세서에 기술된 시스템들 및 방법들은 다른 프로세스들에서 사용될 수도 있다. 기판 프로세싱 시스템 (100) 은 대기압 또는 또 다른 압력에 있을 수도 있는 RF 인클로저 (101) 를 포함한다. 프로세싱 챔버 (102) 는 RF 인클로저 (101) 내에 위치된다. 프로세싱 챔버 (102) 는 프로세싱 챔버 (102) 의 다른 컴포넌트들을 둘러싸고 그리고 RF 플라즈마를 담는다. 프로세싱 챔버 (102) 는 상부 전극 (104) 및 기판 지지부 (106) 를 포함한다. 동작 동안, 기판 (108) 은 기판 지지부 (106) 상에 배치된다.
단지 예를 들면, 상부 전극 (104) 은 가스들을 분배하는 샤워헤드 (109) 일 수도 있다. 상부 전극 (104) 은 프로세싱 챔버 (102) 의 상단 표면에 연결된 일 단부를 포함한 스템 부분 (111) 을 포함할 수도 있다. 샤워헤드 (109) 의 베이스 부분은 일반적으로 원통형이고 그리고 프로세싱 챔버 (102) 의 상단 표면으로부터 이격된 위치에서 스템 부분 (111) 의 반대편의 단부로부터 방사상으로 외측으로 연장한다. 샤워헤드 (109) 의 기판-대면 표면은 프로세스 가스 또는 퍼지 가스가 흐르는 홀들을 가진 플레이트를 포함한다. 샤워헤드 (109) 는 가열 엘리먼트들 (113) 을 포함한다. 샤워헤드 (109) 는 또한 냉각 가스 또는 유체를 흘리는 냉각 채널들 (미도시) 을 포함할 수도 있다. 냉각 채널들을 가진 샤워헤드 (109) 의 예들은 2013년 5월 23일 출원되고 발명의 명칭이 "RF-Powered, Temperature-Controlled Gas Diffuser"인 미국 출원 일련번호 제 13/900,627 호에 도시되고 기술되고, 이는 전체가 참조로서 본 명세서에 인용된다.
기판 지지부 (106) 는 하부 전극으로서 작용하는 전도성 베이스플레이트 (110) 를 포함한다. 베이스플레이트 (110) 는 적어도 부분적으로 세라믹 재료로 형성될 수도 있는 가열 플레이트 (112) 를 지지한다. 내열성 층 (114) 은 가열 플레이트 (112) 와 베이스플레이트 (110) 사이에 배치될 수도 있다. 베이스 플레이트 (110) 는 베이스 플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다.
RF 생성 시스템 (120) 은 RF 전력을 생성하고 그리고 RF 전력을 상부 전극 (104) 으로 출력한다. 베이스플레이트 (110) 는 DC 접지되거나, AC 접지되거나 플로팅 전위로 있을 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 으로 피딩되는 (fed) RF 전력을 생성하는 RF 생성기 (122) 를 포함할 수도 있다. 일 실시예에서, RF 전력은 2 개 이상의 주파수들로 상부 전극 (104) 에 제공된다. 단지 예를 들면, RF 전력은 제 1 주파수 (예를 들어, 13.56 ㎒ (mega-hertz)) 로 공급되고 그리고 RF 전력은 또한 제 2 주파수 (예를 들어, 400 ㎑ (kilo-hertz)) 로 공급된다. 제 1 주파수는 플라즈마 내의 전자들을 여기시키고 이온들을 여기시키지 않도록 이온 컷-오프 주파수보다 높을 수도 있다. 제 2 주파수는 플라즈마 내의 이온들 및 전자들 양자를 여기시키도록 이온 컷-오프 주파수 미만일 수도 있다.
가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2, ..., 및 132-N) (집합적으로 가스 소스들 (132)) 을 포함하고, 여기서 N은 0보다 큰 정수이다. 가스 소스들 (132) 은 하나 이상의 전구체들 및 전구체들의 혼합물들을 공급한다. 가스 소스들 (132) 은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, ..., 및 134-N) (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (136-1, 136-2, ..., 및 136-N) (집합적으로 질량 유량 제어기들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력은 샤워헤드 (109) 에 피딩된다.
온도 제어기 (142) 는 가열 플레이트 (112) 내에 배치된 TCE들 (temperature controlled elements) (144) 에 연결될 수도 있다. 시스템 제어기 (160) 로부터 떨어져서 도시되지만, 온도 제어기는 시스템 제어기 (160) 의 일부로서 구현될 수도 있다. 온도 제어기 (142) 는 상부 전극 (104) 의 온도 및 기판 지지부 (106) 의 온도를 각각 제어하도록 가열 엘리먼트들 (113) 및 TCE들 (144) 의 온도들을 제어할 수도 있다. 온도 제어기 (142) 는 베이스플레이트 (110) 의 채널들 (116) 을 통한 냉각제를 제어하도록 냉각제 어셈블리 (146) 와 통신할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프, 저장소 및 플로우 제어 디바이스들, 예컨대, 밸브들 및/또는 질량 유량 제어기들을 포함할 수도 있다.
밸브 (150) 및 펌프 (152) 는 프로세싱 챔버 (102) 내의 압력을 제어하도록 그리고 프로세싱 챔버 (102) 로부터 반응물질들을 선택적으로 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어할 수도 있다.
상부 전극 (104) 은 하나 이상의 열적 커플링들 (전도체들 (182) 을 가진 일 열적 커플링 (180) 이 도시됨) 을 포함한다. 열적 커플링 (180) 은 스템 (111) 을 통해 그리고 샤워헤드 (109) 내로 연장한다. 스템 (111) 은 시일 (184) 을 통해 플라즈마 챔버 (102) 에 대해 시일링된다. 전도체들 (182) 은 인쇄 회로 기판 어셈블리 (PCBA) 를 포함할 수도 있는 제 1 필터 어셈블리 (186) 에서 수용된다. 제 1 필터 어셈블리 (186) 의 출력은 제 2 필터 어셈블리 (188) 에 제공될 수도 있다. 제 2 필터 어셈블리 (188) 는 RF 인클로저 (101) 내에 위치될 수도 있거나 도시된 바와 같이, RF 인클로저 (101) 외부에 있을 수도 있다. 필터 어셈블리들의 예들은 도 2 내지 도 8에 도시된다.
제 1 필터 어셈블리 (186) 그리고 선택 가능하게 제 2 필터 어셈블리 (188) 는 RF 인클로저 (101) 내에 위치될 수도 있다. 제 1 필터 어셈블리 (186) 는 전도체들 (182) 및 다른 전도체들 상의 무선 주파수들을 최소화하고 차단하도록 열적 커플링 (180) 및 상부 전극 (104) 에 근접하여 위치된다. 다른 전도체들의 예들은 (i) 제 1 필터 어셈블리 (186) 와 제 2 필터 어셈블리 (188) 사이의 전도체들, 및/또는 (ii) (a) 제 2 필터 어셈블리 (188) 와 (b) 온도 제어기 (142) 또는 격리 디바이스 (도 2 내지 도 5에 도시됨) 사이의 전도체들을 포함한다. 제 1 필터 어셈블리 (186) 는 스템 (111) 에 그리고/또는 스템 (111) 근방의 플라즈마 챔버 (102) 에 연결될 수도 있다. 열적 커플링 (180), 스템 (111), 및/또는 상부 전극 (104) 으로의 제 1 필터 어셈블리 (186) 의 인접은 RF 및/또는 DC 변칙들 (anomalies) 을 최소화한다.
하나 이상의 팬들 (190) 이 RF 인클로저 (101) 내에 배치될 수도 있고 그리고 RF 인클로저 (101) 내의 온도들을 미리 결정된 온도 (예를 들어, 70 ℃) 로 유지하도록 사용될 수도 있다. 이것은 제 1 필터 어셈블리 (186) 및/또는 2 필터 어셈블리 (188) 의 온도들을 미리 결정된 온도로 유지하는 것을 도울 수도 있다. 팬들 (190) 은 온도 제어기 (142) 에 의해 턴 온되거나 (turned ON) 턴 오프될 (turned OFF) 수도 있다. 온도 제어기 (142) 는 팬들 각각에 제공된 제어 신호들의 듀티 사이클을 제어하고 조정할 수도 있다. 듀티 사이클들은 RF 인클로저 (101) 내, 제 1 필터 어셈블리 (186) 상, 그리고/또는 열적 커플링 (180) 을 통해 검출된 온도들에 기초하여 조정될 수도 있다. 예를 들어, 팬의 제어 신호의 사이클당 ON 시간은 검출된 온도가 추가의 냉각을 제공하도록 증가할 때 증가될 수도 있다. 팬들 (190) 중 하나 이상은 제 1 필터 어셈블리 (186) 의 온도를 제어하는 것에 전용일 수도 있다. 이것은 제 1 필터 어셈블리 (186) 상의 컴포넌트들의 대류 냉각을 허용한다. 제 1 필터 어셈블리 (186) 에 걸친 온도차들은 제 1 필터 어셈블리 (186) 상의 컴포넌트들을 동일한 미리 결정된 온도 (예를 들어, 70 ℃) 로 유지함으로써 최소화될 수도 있다. 이것은 이하에 더 기술될 바와 같이, 제 1 필터 어셈블리 (186) 의 PCB에 걸친 온도 차들에 기인하여 DC의 도입을 방지한다. 팬들 (190) 은 RF 인클로저 (101) 내의 어디든 위치될 수도 있다.
도 2는 복수의 스테이션들의 전극들 (202) 을 위한 온도 제어 시스템 (200) 을 도시한다. 일부 예들에서, 스테이션들은 도 1의 플라즈마 챔버 (102) 내의 캐로절 상에 배치될 수도 있다. 전극들 (202) 은 도 1의 상부 전극 (104) 을 포함하고 그리고 상기에 기술된 바와 같이 RF 신호들을 수신한다. 가스는 플라즈마 프로세싱을 위해 전극들 (202) 에 제공될 수도 있다. 전극들 (202) 은 제 1 필터 어셈블리들 (204), 제 2 필터 어셈블리들 (206), 및 격리 디바이스들 (208) 을 각각 갖는다. 제 1 필터 어셈블리들 (204) 은 전극들 (202) 내의 열적 커플링들 (예를 들어, 그 예가 도 1의 열적 커플링 (180) 에 의해 도시됨) 에 연결된다. 열적 커플링들 (180) 의 출력부들은 제 1 필터 어셈블리들 (204) 에 연결된다. 제 1 필터 어셈블리들 (204) 의 출력부들은 제 2 필터 어셈블리들 (206) 에 연결된다. 제 2 필터 어셈블리들 (206) 의 출력부들은 격리 디바이스들 (208) 에 연결된다. 격리 디바이스들 (208) 의 출력부들은, 열적 커플링들을 통해 검출된 온도들에 기초하여 전극들 (202) 내의 가열 엘리먼트들에 공급된 전류를 제어하는 온도 제어기 (142) 에 연결된다. 일 실시예에서, 제 2 필터 어셈블리들 (206) 은 포함되지 않고 그리고 제 1 필터 어셈블리들의 출력들은 격리 디바이스들 (208) 에 직접 제공된다.
제 1 필터 어셈블리들은, 이하에 더 기술되는 바와 같이, 열적 커플링들 각각의 전도체 각각을 위한 필터를 포함한다. 열적 커플링 각각은 복수의 전도체들을 포함할 수도 있다. 필터 각각은 도 7 및 도 9에 대해 이하에 더 기술되는 바와 같이 직렬로 연결된 저역 통과 필터 및 대역 저지 필터를 포함할 수도 있다. 제 2 필터 어셈블리들은 도 8에 대해 이하에 더 기술되는 바와 같은 열적 커플링들의 전도체들을 위한 저역 통과 필터들을 각각 포함할 수도 있다.
격리 디바이스들 (208) 은 온도 제어기 (142) 로부터 분리될 수도 있거나 온도 제어기 (142) 의 일부로서 통합될 수도 있다. 격리 디바이스들 (208) 은 플라즈마의 자기 바이어싱된 (self-biased) DC 전압들로부터 열적 커플링 전도체들로부터 수신된 저 전압 차동 신호들을 디커플링하기 위한 증폭기들 및/또는 격리 엘리먼트들을 포함할 수도 있다. 격리 디바이스들 (208) 은 조건 수신된 신호들을 증폭할 수도 있고 그리고 잔여 DC 간섭을 제거할 수도 있다. 격리 디바이스들 (208) 각각은 수용된 전압을 측정하고, 수용된 전압을 비선형 열적 커플링 커브에 비교하고, 수신된 신호 및 비선형 열적 커플링 커브에 기초하여 격리 디바이스의 내부 온도를 계산하고 그리고 출력되는 선형 아날로그 전압으로 온도를 인코딩한다 (encode). 자기 바이어싱된 DC 전압들은 샤워헤드 내의 임베딩된 열적 커플링 라인들 내로 커플링될 수 있다. 샤워헤드에 제공되는 전위와 같은, 고 RF 전압 전위들은 열적 커플링 전기적 격리부를 부식시킬 수 있다. 이것은 샤워헤드 내의 가열 엘리먼트들의 부적절한 제어 및 부정확한 DC 전압 판독을 유발할 수 있다. 격리 디바이스들 (208) 은 다른 DC 전압들 (예를 들어, PRF 전압) 및 플라즈마와 연관된 DC를 차단하고 그리고 정확한 가열기 엘리먼트 제어를 위해 정확한 열적 커플링 DC 전압 판독들을 허용한다. 다른 DC 전압들은 섀시 접지에 상대적일 수도 있다.
도 3은 도 1 및 도 2의 상부 전극 (104) 또는 전극들 (202) 중 임의의 전극을 대체할 수도 있는 단일의 전극 (232) 을 위한 온도 제어 시스템 (230) 을 도시한다. 온도 제어 시스템 (230) 은 온도 제어기 (142), 필터 회로 (233), 및 스위치 (234) 를 포함하고 그리고 AC 소스 (236) 를 포함할 수도 있다. 필터 회로 (233) 는 제 1 필터 어셈블리 (238), 제 2 필터 어셈블리 (240), 및 격리 디바이스 (242) 를 포함한다. 격리 디바이스 (242) 는 온도 제어기 (142) 로부터 분리된 것으로 도시되지만, 격리 디바이스 (242) 및 온도 제어기 (142) 는 단일의 디바이스로서 구현될 수도 있다. 제 1 필터 어셈블리 (238) 는 전극 (232) 내의 열적 커플링의 전도체 각각을 위한 복수의 필터들 및 PCB를 포함할 수도 있다. 열적 커플링의 전도체 각각을 위한 필터는 대역 저지 필터 및 저역 통과 필터를 포함한다.
열적 커플링은 복수의 전도체들의 쌍들을 포함할 수도 있고, 여기서 전도체들의 쌍 각각은 각각의 열적 커플링으로서 실질적으로 동작한다. 제 2 필터 어셈블리 (240) 는 OT (over temperature) 모니터에 연결될 수도 있는 OT 필터 (246) 및 TC (thermocouple) 필터 (244) 를 포함할 수도 있다. 제 1 전도체들의 쌍과 연관된 제 1 필터 어셈블리 (238) 의 출력부들은 TC 필터 (244) 에 연결될 수도 있다. 제 2 전도체들의 쌍과 연관된 제 1 필터 어셈블리 (238) 의 출력부들은 OC (over current) 필터 (246) 에 연결될 수도 있다. 필터들 (244, 246) 은 저역 통과 필터들일 수도 있고 그리고 각각의 철 코어들 주위의 랩핑 (wrapping) 열적 커플링 전도체들을 포함할 수도 있고, 그 예들은 도 8에 도시된다.
온도 제어기 (142) 는 SSR들 (solid-state relays) (250), 샤워헤드 제어기 (252) 및 제한 제어기 (254) 를 포함할 수도 있다. SSR들 (250) 은 AC 소스 (236) 로부터 스위치 (234) 를 통해 RF 전력을 수신할 수도 있다. 스위치 (234) 는 안전 콘택터로 지칭될 수도 있다. AC 전력은 AC 필터 (256) 를 통해 전극 (232) 으로 제공될 수도 있다. 제한 제어기 (254) 는 OC 필터 (246) 의 출력들에 대응하는 격리 디바이스 (242) 의 제 1 출력들에 기초하여 스위치 (234) 의 동작을 제어할 수도 있다. 샤워헤드 제어기 (252) 는 TC 필터 (244) 의 출력들에 대응하는 격리 디바이스 (242) 의 제 2 출력들에 기초하여 SSR들 (250) 의 동작을 제어한다. SSR들 (250) 은 RF 전력을 전극 (232) 으로 공급하도록 제어될 수도 있다. AC 필터 (256) 는 미리 결정된 주파수들로 RF 신호들의 통과를 허용하도록 그리고 DC 및/또는 노이즈의 통과를 방지하도록 대역통과 및/또는 고역 통과 필터들을 포함할 수도 있다.
제 1 필터 어셈블리 (238) 의 온도는 개루프 제어 또는 폐루프 제어를 사용하여 유지될 수도 있다. 일 실시예에서, 제 1 필터 어셈블리 (238) 는 전도 냉각을 통해 온도 조절되고 그리고/또는 냉각된다. 이것은 유사하게 도 1의 팬들 (190) 에 대해 상기에 기술된 바와 같이 제 1 필터 어셈블리 (238) 에 걸쳐 공기를 순환시키거나 공기를 통과시키는 팬을 포함할 수도 있다. 폐루프 제어의 예들은 도 4 및 도 5에 대해 기술된다.
도 4는 전극 (232) 을 위한 온도 제어 시스템 (270) 을 도시한다. 온도 제어 시스템 (270) 은 온도 제어기 (272), 제 1 필터 어셈블리 (274), 제 2 필터 어셈블리 (240), 및 격리 디바이스 (242) 를 포함하고 그리고 스위치 (234) 및 AC 소스 (236) 를 포함할 수도 있다. 온도 제어기 (272) 는 SSR들 (250), 샤워헤드 제어기 (252) 및 제한 제어기 (254) 를 포함한다. 제 2 필터 어셈블리 (240) 는 TC 필터 (244) 및 OC 필터 (246) 를 포함한다. 온도 제어기 (272) 는 또한 제 1 필터 어셈블리 (274) 의 폐루프 온도 제어를 수행하는 필터 제어기 (276) 를 포함한다.
제 1 필터 어셈블리 (274) 는 온도 센서들 (280) 및 하나 이상의 냉각 디바이스들 (282) 을 포함할 수도 있다. 온도 센서들 (280) 은 PCB의 열적 커플링 신호 입력부들 및 출력부들 근방의 제 1 필터 어셈블리 (274) 의 PCB에 연결될 수도 있다. 온도 센서들 (280) 에 의해 생성된 온도 신호들은 필터 제어기에 제공되고, 이는 제 1 필터 어셈블리 (274) 의 온도들을 동일한 온도에 있도록 조절한다. 냉각 디바이스들 (282) 은 공기 또는 유체 냉각 디바이스들, 예컨대, 하나 이상의 팬들, 밸브들, 스위치들, 펌프들, 등을 포함할 수도 있다. 냉각 디바이스들 (282) 의 일 예시적인 실시예는 도 5에 도시된다. 필터 제어기 (276) 는 제 1 필터 어셈블리 (274) 의 온도들을 조정하도록 냉각 디바이스들 (282) 의 동작을 제어한다. 필터 제어기 (276) 는 하나 이상의 스테이션들의 하나 이상의 필터 어셈블리들의 온도들을 제어할 수도 있다. 필터 제어기 (276) 는 제 1 필터 어셈블리 (274) 의 PCB 상의 제어기와 통신할 수도 있거나 제 1 필터 어셈블리 (274) 의 PCB 상에 포함될 수도 있다. 제 1 필터 어셈블리 (274) 의 예시적인 PCB는 도 7에 도시된다.
도 5는 전극 (232) 을 위한 또 다른 온도 제어 시스템 (290) 을 도시한다. 온도 제어 시스템 (290) 은 온도 제어기 (292), 제 1 필터 어셈블리 (274), 제 2 필터 어셈블리 (240), 및 격리 디바이스 (242) 를 포함하고 그리고 스위치 (234) 및 AC 소스 (236) 를 포함할 수도 있다. 온도 제어기 (292) 는 SSR들 (250), 샤워헤드 제어기 (252) 및 제한 제어기 (254) 를 포함한다. 제 2 필터 어셈블리 (240) 는 TC 필터 (244) 및 OC 필터 (246) 를 포함한다. 온도 제어기 (292) 는 또한 제 1 필터 어셈블리 (274) 의 폐루프 온도 제어를 수행하는 필터 제어기 (294) 를 포함한다.
제 1 필터 어셈블리 (274) 는 온도 센서들 (280) 및 하나 이상의 냉각 디바이스들 또는 다른 온도 조정 디바이스들 (예를 들어, 가열기들) 을 포함할 수도 있다. 냉각 디바이스들은 냉각 블록 (296), 밸브들 (298), 펌프 (300) 및 냉각제 저장소 (302) 를 포함할 수도 있다. 냉각 블록 (296) 은 제 1 필터 어셈블리 (274) 및/또는 제 1 필터 어셈블리 (274) 의 PCB에 근접할 수도 있고, 열적으로 연결될 수도 있고, 직접적으로 연결될 수도 있고 그리고/또는 간접적으로 연결될 수도 있다. 온도 센서들 (280) 은 PCB의 열적 커플링 신호 입력부들 및 출력부들 근방의 제 1 필터 어셈블리 (274) 의 PCB에 연결될 수도 있다. 온도 센서들 (280) 에 의해 생성된 온도 신호들은 필터 제어기 (294) 에 제공되고, 이는 제 1 필터 어셈블리 (274) 의 온도들을 동일한 온도에 있도록 조절한다. 필터 제어기 (294) 는 제 1 필터 어셈블리 (274) 의 온도들을 조정하도록 냉각 블록 (296) 의 채널들 (306) 로 그리고 채널들 (306) 로부터의 플로우를 조정하기 위해서 밸브들 (298), 펌프 (300), 및/또는 다른 온도 조정 디바이스들의 동작을 제어한다. 이것은 예를 들어, 채널들 (306) 내의 유체 플로우 레이트들 및/또는 압력들을 독립적으로 제어하도록 밸브들 (298) 을 동작시키는 것을 포함한, 존 냉각 (zoned cooling) 을 포함할 수도 있다. 이것은 PCB의 상이한 존들로 하여금 상이한 냉각량들을 수용하게 한다. 필터 제어기 (294) 는 하나 이상의 스테이션들의 하나 이상의 필터 어셈블리들 각각의 온도들을 제어할 수도 있고, 여기서 스테이션들 각각은 냉각 블록 및 대응하는 제 1 필터 어셈블리를 갖는다. 필터 제어기 (294) 는 제 1 필터 어셈블리 (274) 의 PCB 상의 제어기와 통신할 수도 있거나 제 1 필터 어셈블리 (274) 의 PCB 상에 포함될 수도 있다. 제 1 필터 어셈블리 (274) 의 예시적인 PCB는 도 7에 도시된다.
도 6은 열적 커플링의 격리 디바이스 (314) 및 필터 어셈블리 (312) 를 위한 등가 회로도 (310) 를 도시한다. 이 등가 회로도 (310) 는 열적 커플링의 전도체들의 단일의 쌍과 연관된 도 1 내지 도 5의 격리 디바이스들 및 제 1 필터 어셈블리들의 부분들을 나타낼 수도 있고 제 2 필터 어셈블리 (예를 들어, 도 1 내지 도 5의 제 2 필터 어셈블리들 (188, 206, 240) 중 하나) 를 나타내지 않는다. 제 1 필터 어셈블리 (312) 는 PCB (316), 입력 단자들 (318), 및 출력 단자들 (320) 을 포함한다. 격리 디바이스 (314) 는 입력부를 가진 신호 컨디셔닝 회로 (324) 및 단자들 (322) 을 가진 커넥터 (322), 열적 커플링 전압 (VTC), 및 출력 전압 VOUT을 포함한다.
열적 커플링 전도체들 (330, 332) 의 쌍들은 (i) 열적 커플링과 제 1 필터 어셈블리 (312) 사이, 그리고 (ii) 제 1 필터 어셈블리 (312) 와 격리 디바이스 (314) 의 커넥터 (313) 사이에 도시된다. 열적 커플링 전도체들 (330, 332) 은 단자들 (318, 320, 322) 중 대응하는 단자들에 연결된다. PCB 전도체들 또는 집적 회로 (IC) 전도체들 (예를 들어, 구리 트레이스들) (334, 336) 의 쌍들은, (i) 제 1 열적 커플링 전도체들 (330) 의 쌍과 제 2 열적 커플링 전도체들 (332) 의 쌍 사이, 그리고 (ii) 제 2 열적 커플링 전도체들 (332) 의 쌍과 신호 컨디셔닝 회로 (324) 의 입력부 사이에 도시된다. 격리 디바이스 (314) 및/또는 신호 컨디셔닝 회로 (324) 는 디지털 방식으로 스케일링된 아날로그 출력 (출력 전압 VOUT) 을 제공하도록 아날로그-대-디지털-대-아날로그 변환을 수행한다. 신호 컨디셔닝 회로 (324) 는 루프 전류를 최소화하도록 고 임피던스 회로를 사용하여 열적 커플링 개회로 전압 (VTC) 을 측정한다.
열적 커플링 전도체들 (330, 332) 의 쌍들 각각은, 열적 커플링 전도체들 (330, 332) 의 쌍들 각각의 전도체들이 도시된 바와 같이, 각각의 제벡 계수 (Seebeck coefficient) (Sa 또는 Sc) 를 갖도록, 상이한 재료들로 이루어진 전도체들을 갖는다. 또한, 전도체들 (334, 336) 은 대응하는 제벡 계수 (Sz) 를 갖는다. 제벡 계수 (Sa) 는 니켈 및 알루미늄을 포함하는 전도체에 대한 계수일 수도 있다. 제벡 계수 (Sc) 는 니켈 및 크롬을 포함하는 전도체에 대한 계수일 수도 있다. 제벡 계수 (Sz) 는 구리를 포함하는 전도체에 대한 계수일 수도 있다. 온도들 (Tx, T1, T2, Tc 및 Tv) 은 (i) 열적 커플링의 출력부, (ii) 단자들 (318), (iii) 단자들 (320), (ⅳ) 단자들 (322), 및 (ⅴ) 신호 컨디셔닝 회로 (324) 의 출력부 또는 출력부 상의 일 지점에서의 온도들 각각에 대해 도시된다.
Kirchoff의 전압 법칙에 따르면, 폐루프 주위의 모든 전압들의 합은 0이다. 그러므로, 등가 회로도 (310) 의 루프 주위의 전압들의 합은 수식 1에 의해 나타낸 바와 같다. 수식 1을 재배열하고 간략화한 것은 수식 2를 제공한다.
Figure pat00001
Figure pat00002
Sa 및 Sc의 값들은 VTC의 극성을 결정한다. T2가 T1과 동일하다면, 그러면 VTC의 크기는 Tx와 Tc 사이의 차에 기초하고, 여기서 Tx는 열적 커플링에 의해 검출된 알려지지 않은 온도이고 그리고 Tc는 커넥터 (322) 의 온도이다. T2가 T1과 동일하지 않다면, 그러면 전압 (VTC) 의 크기는 또한 T2와 T1 사이의 차에 기초한다. 이러한 이유들로 그리고 본 명세서에 개시된 바와 같이, T2 및 T1의 온도들은 동일한 온도로 유지된다. 센서들은 온도들 (T2 및 T1) 을 검출하도록 사용될 수도 있다. 예시적인 센서들은 도 7에 도시된다. 도 4 및 도 5의 필터 제어기들 (276, 294) 은 온도들 (T2 및 T1) 을 동일한 온도로 유지할 수도 있다. 일 실시예에서, 온도들은 온도들 (T2, T1) 을 검출하지 않고 고정된 온도로 유지된다 (개루프 제어로 지칭됨). 예를 들어, 도 1의 온도 제어기 (142) 는 프로세스 동안 다양한 시간들에 대한 온도들 (T2 및 T1) 의 미리 결정된 추정치들 및 전류 레시피에 기초하여 팬들 (190) 의 동작을 제어할 수도 있다. 온도 제어기 (142) 는 수행될 프로세스 동안 상이한 동작 조건들 및 시간들에 대해 T2 및 T1의 추정치들의 표를 저장하고 그리고/또는 표에 액세스할 수도 있다. 온도 제어기 (142) 는 전류, 전압, 전력, 주파수, 및/또는 팬들 (190) 중 하나 이상의 듀티 사이클을 조정할 수도 있다. 폐루프 제어는 또한 T2 및 T1의 측정치들에 기초하여 전류, 전압, 전력, 주파수, 및/또는 팬들 (190) 중 하나 이상의 듀티 사이클을 제어하는 것을 포함할 수도 있다. 폐루프 제어는 밸브들의 개방 상태와 폐쇄 상태, 펌프들의 ON 상태와 OFF 상태, 펌프들의 속도들, 밸브들이 어느 정도 개방되는지, 밸브 개방들의 빈도, 등을 제어할 수도 있다.
본 명세서에 개시된 제 1 필터링 어셈블리들은 PCBA들 상에서 구현되고 그리고 제작의 용이성을 위해 콤팩트하고 (compact) 반복 가능한 설계들을 RF 필터링에 제공한다. PCB의 구리 트레이스와 인터페이싱할 때 열적 커플링 전도체는 열전기 효과 (제벡 효과로 지칭됨) 에 기인하여 소전압을 생성할 수 있고, 이는 온도 측정에 영향을 줄 수 있다. 이 소전압이 생성되는 것을 방지하도록, PCBA들은 미리 결정된 또는 주변 온도 (예를 들어, 70 ℃) 로 유지되는 RF 인클로저 내에 장착된다. 대응하는 온도 제어기는 미리 결정된 또는 주변 온도에 노출될 수도 있다. PCBA들은 어떠한 온도 구배들이 발생하는 것을 방지하도록 온도 제어될 수도 있다. 이것은 제벡 효과가 주로 이종 금속 접합들에서 영향을 받기 때문이다. 열전기 전압들이 발생할 수 있을지라도, Kirchoff의 전압 법칙에 일치하여, PCBA들의 온도 제어와 함께 본 명세서에 개시된 이종 금속 접합들의 효과들은 접합들로 하여금 원래 검출된 열적 커플링 전압만을 남기고 서로 무효화하게 한다. 대조적으로, DC 전압들을 감소시키기 위한 (mitigate) 종래의 기법들은 (i) 격리될 수 있는 작은 범위의 DC 전압들을 갖고, 그리고 (ii) 유한한 공통 모드 제거를 나타내는 차동 증폭기들을 사용하고, 고 DC 노이즈 대 신호 비가 존재할 때 보다 큰 에러들을 발생시킨다.
도 7은 PCB (351) 를 가진 PCBA일 수도 있는 제 1 필터 어셈블리 (350) 의 예를 도시한다. 제 1 필터 어셈블리 (350) 는 제 1 필터들 (352), 제 2 필터들 (354), 제 1 온도 센서 (356), 제 2 온도 센서 (358), 및 전력 제어기 (360) 를 포함한다. 제 1 필터들 (352) 은 제 1 필터 (362) (대역 저지 필터로 도시됨) 및 제 2 필터 (364) (저역 통과 필터로 도시됨) 를 포함한다. 제 2 필터들 (354) 은 제 1 필터 (366) (대역 저지 필터로 도시됨) 및 제 2 필터 (368) (저역 통과 필터로 도시됨) 를 포함한다. 제 1 필터들 (362, 366) 은 제 1 주파수 (예를 들어, 13.56 ㎒) 또는 제 1 주파수 근방에 중심이 위치될 수도 있는 제 1 주파수 대역 내의 주파수들에서 RF 전력의 통과를 방지한다. 제 1 주파수에서의 RF 전력은 샤워헤드에 공급될 수도 있고 그리고 열적 커플링에 의해 검출될 수도 있다. 제 1 필터들 (362, 366) 은 제 1 주파수 대역 외부의 주파수들에서 RF 전력의 통과를 허용한다. 제 2 필터들 (364, 368) 은 컷-오프 주파수 (예를 들어, 100 ㎑) 이상의 주파수들에서 RF 전력의 통과를 방지하고 그리고 컷-오프 주파수 이하의 주파수들에서 RF 전력의 통과를 허용한다. 컷-오프 주파수 이상의 주파수들은 제 2 주파수 대역으로 지칭될 수도 있다. 컷-오프 주파수 이하의 주파수들은 제 3 주파수 대역으로 지칭될 수도 있다. 그 결과, 샤워헤드에 공급되고 그리고 열적 커플링에 의해 검출된, 제 2 주파수 (예를 들어, 400 ㎑) 에서의 RF 전력은 제 2 필터들 (364, 368) 에 의해 차단될 수도 있다. 제 1 RF 주파수 및 제 2 RF 주파수에서의 RF 전력은 상기에 기술된 바와 같이, 플라즈마 챔버 내의 전극에 제공될 수도 있다.
필터들 (362, 366) 은 대응하는 인덕턴스들 (370, 372, 374, 375, 376, 377) 및 커패시턴스들 (378, 380) 을 포함한다. 필터들 (364, 368) 은 각각의 인덕턴스들 (382, 384) 및 커패시턴스들 (386, 388) 을 포함한다. 인덕턴스들 (370, 374, 375) 은 입력 단자 (390) 와 인덕턴스 (382) 사이에 직렬로 연결된다. 인덕턴스들 (372, 376, 377) 은 입력 단자 (392) 와 인덕턴스 (394) 사이에 직렬로 연결된다. 일 실시예에서, 인덕턴스들 (370 및 372) 각각의 값들은 인덕턴스들 (374, 375, 376, 377) 각각의 값들 미만이다. 인덕턴스들 (374, 375, 376, 377) 의 값들은 동일할 수도 있다. 입력 단자들 (390, 392) 은 열적 커플링의 전도체들에 연결될 수도 있다. 인덕턴스들 (382, 384) 은 출력 단자들 (394, 396) 에 연결된다. 인덕턴스들 (370, 372) 은 커패시턴스들 (378, 380) 과 병렬로 연결된다. 커패시턴스들 (386, 388) 은 인덕턴스들 (382, 384) 의 출력부들과 접지 기준 (398) 사이에 연결된다.
온도 센서들 (356, 358) 은 예들로서 도시된다. 단지 예시적인 목적들을 위해, 온도 센서들 (356, 358) 은 제 1 트랜지스터들 및 제 2 트랜지스터들을 포함할 수도 있다. 제 1 트랜지스터들은 제 2 트랜지스터들로 전류를 공급하도록 상태들 사이에서 전이될 수도 있다. 이것은 온도 센서들 (356, 358) 을 턴 온할 수도 있다. 온도 센서들 (356, 358) 및/또는 제 2 트랜지스터들은 입력 단자들 (390, 392) 및 출력 단자들 (294, 296) 의 온도들 및/또는 그 근방의 온도들을 검출하도록 구성될 수도 있다. 온도 센서들 (356, 358) 은 단자들 (390, 392, 394, 396) 과 콘택트할 수도 있다. 2 개의 온도 센서들이 도시되었지만 임의의 수의 온도 센서들이 포함될 수도 있다. 또 다른 예로서, 온도 센서는 단자들 (390, 392, 394, 396) 각각을 위해 제공될 수도 있다.
온도 센서들 (356, 358) 은 전력 제어기 (360) 에 연결될 수도 있고 그리고 전력 제어기 (360) 로부터 전류를 수용할 수도 있다. 온도 센서들 (356, 358) 은 도 4 및 도 5의 필터 제어기들 중 하나 또는 필터 어셈블리 제어기 (410) 에 연결될 수도 있고 그리고 도 4 및 도 5의 필터 제어기들 중 하나 또는 필터 어셈블리 제어기 (410) 로부터 제어 신호들을 수신할 수도 있다. 온도 센서들 (356, 358) 의 출력부들은 아날로그-대-디지털 (A/D) 변환기들에 연결될 수도 있다. A/D 변환기들의 출력들은 도 4 및 도 5의 필터 제어기들 중 하나 또는 필터 어셈블리 제어기 (410) 에 제공될 수도 있다. A/D 변환기들은 필터 어셈블리 제어기 (410) 에 포함될 수도 있다.
예로서, 온도 센서들 (356, 358) 의 제 1 트랜지스터들은 MOSFET들 (metal-oxide-semicounductor field-effect transistors) 일 수도 있고 그리고 드레인들, 게이트들 및 소스들을 포함할 수도 있다. 온도 센서들 (356, 358) 의 제 2 트랜지스터들은 BJT들 (bipolar junction transistors) 일 수도 있고 그리고 컬렉터들, 베이스들 및 이미터들을 포함할 수도 있다. 드레인들은 전력 제어기 (360) 에 연결될 수도 있고 그리고 전력 제어기 (360) 로부터 전류를 수용할 수도 있다. 게이트들은 도 4 및 도 5의 필터 제어기들 중 하나 또는 필터 어셈블리 제어기 (410) 에 연결될 수도 있고 그리고 도 4 및 도 5의 필터 제어기들 중 하나 또는 필터 어셈블리 제어기 (410) 로부터 제어 신호들을 수신할 수도 있다. 제 1 트랜지스터들의 소스들은 컬렉터들 및 베이스들에 연결될 수도 있다. 컬렉터들은 접지 기준 (398) 에 연결될 수도 있다. 컬렉터들 및 이미터들은 A/D 변환기들에 연결될 수도 있다. A/D 변환기들의 출력들은 도 4 및 도 5의 필터 제어기들 중 하나 또는 필터 어셈블리 제어기 (410) 에 제공될 수도 있다.
제 2 트랜지스터들은 다이오드 구성들로 연결될 수도 있다. 제 2 트랜지스터들의 베이스-대-이미터 전압들의 온도 의존도는 온도 측정들을 위한 기초일 수도 있다. 베이스-대-이미터 전압들 (Vbes) 은 (i) 전력 소스 (416) 가 일정한 레벨의 전류를 가진 전력 모듈 (360) 을 통해 컬렉터들로 제 1 트랜지스터들을 통해 전력을 공급하고, 그리고 (ii) 베이스들 및 컬렉터들에 걸친 전압이 0인 동안 온도들에 의존할 수도 있다. 제 2 트랜지스터들의 이미터들 및 베이스들 (또는 컬렉터들) 에 걸친 전압들은 A/D 변환기들 및/또는 필터 어셈블리 제어기 (410) 에 의해 검출될 수도 있다. 검출된 전압들은 도 4 및 도 5의 필터 어셈블리 제어기들 중 하나 또는 필터 어셈블리 제어기 (410) 를 통해 온도들로 변환될 수도 있다. 도 4 및 도 5의 필터 어셈블리 제어기들 중 하나 또는 필터 어셈블리 제어기 (410) 는 A/D 변환기들로부터 디지털 신호들을 수신할 수도 있고 그리고 단자들 (390, 392, 394, 396) 의 온도들 (예를 들어, 도 6의 온도들 (T2, T1)) 을 결정할 수도 있다. 필터 어셈블리 제어기 (410) 는 온도 제어기 (272) 에 연결되는 것으로 도시되고 그리고 필터 제어기 (276) 와 통신한다. 특정한 타입들의 온도 센서들이 도시되고 기술되지만, 다른 타입들의 온도 센서들이 활용될 수도 있다.
도 8은 제 2 필터 어셈블리 (코어-기반 어셈블리) (450) 의 예를 도시한다. 제 2 필터 어셈블리 (450) 는 도 1 내지 도 5의 제 2 필터 어셈블리들 중 임의의 제 2 필터 어셈블리를 대체할 수도 있다. 제 2 필터 어셈블리 (450) 는 제 1 저역 통과 필터 (452) 및 제 2 저역 통과 필터 (454) 를 포함한다. 필터들 (452, 454) 은 인덕턴스들을 제공하도록 대응하는 페라이트 코어들 (460, 462) 주위에 랩핑되는 열적 커플링 케이블들 (456, 458) 각각을 포함한다. 2 개의 코어들 (460, 462) 이 도시되지만, 공통 모드 초크가 사용될 수도 있어서, 열적 커플링 케이블들은 단일의 코어 (예를 들어, 링 형상의 코어) 의 각각의 부분들 주위에 랩핑된다. 열적 커플링 케이블들 (456, 458) 각각은 외측 시스 및 한 쌍의 전도체들을 포함한다. 열적 커플링 케이블들 (456, 458) 내의 전도체들의 제 1 단부들은 제 1 필터 어셈블리 (도 1 내지 도 7의 제 1 필터 어셈블리들 중 임의의 제 1 필터 어셈블리) 의 출력 단자들에 연결될 수도 있다. 열적 커플링 케이블들 (456, 458) 내의 전도체들의 제 2 단부들은 격리 디바이스 (예를 들어, 도 1 내지 도 6의 격리 디바이스들 중 임의의 격리 디바이스) 의 입력 단자들에 연결될 수도 있다. 커패시턴스들 (470, 472) 은 인덕턴스들의 출력부들에서 시스들에 그리고 접지 기준 (398) 에 연결된다. 필터들 (452, 454) 은 제 1 필터 어셈블리 (예를 들어, 도 7의 제 1 필터 어셈블리 (350)) 의 필터들을 추가할 수도 있고 그리고 필터들 (452, 454) 의 컷-오프 주파수 이상의 주파수들을 차단할 수도 있다. 필터들 (452, 454) 의 컷-오프 주파수는 제 1 필터 어셈블리의 저역 통과 필터들의 컷-오프 주파수와 동일하거나 상이할 수도 있다. 필터들 (452, 454) 의 주 목적은 RF 챔버 내부의 방사에 의해 신호 라인들에 커플링되거나 그렇지 않으면 제 1 필터 어셈블리 후에 픽업되는 노이즈를 필터링하는 것이다.
도 1 내지 도 8의 제어기들의 추가의 규정된 구조에 대해, 도 9의 이하에 제공된 방법 및 용어 "제어기"에 대한 이하에 제공된 정의를 참조하라. 본 명세서에 개시된 시스템들은 수많은 방법들을 사용하여 동작될 수도 있고, 예시적인 방법이 도 9에 예시된다. 도 9에서, 시스템을 동작시키는 온도 제어 방법이 도시된다. 다음의 태스크들이 도 9의 구현예들에 대해 주로 기술되지만, 태스크들은 본 개시의 다른 구현예들에 적용되도록 쉽게 수정될 수도 있다. 태스크들은 반복하여 수행될 수도 있다. 태스크들은 플라즈마 챔버 내의 스테이션 각각에 대해 수행될 수도 있다.
방법은 500에서 시작될 수도 있다. 502에서, 온도 제어기 (예를 들어, 도 1 내지 도 5의 온도 제어기들 (142, 272, 292) 중 하나) 는 하나 이상의 냉각 또는 온도 조절 디바이스들 (예를 들어, 도 1의 팬들 (190), 도 4의 냉각 디바이스들 (282), 및/또는 도 5의 펌프 (300)), 전류 레시피 및/또는 수행될 프로세스에 대한 미리 결정된 설정사항들을 결정할 수도 있다. 미리 결정된 설정사항들은 하나 이상의 제 1 필터 어셈블리들 (FA들) (예를 들어, 본 명세서에 개시된 제 1 FA들 중 임의의 제 1 FA, 예컨대, 도 1 내지 도 5 및 도 7의 제 1 FA들 (186, 204, 238, 350) 중 하나 이상) 을 미리 결정된 온도로 유지하도록, 미리 결정된 속도들, 주파수들, 듀티 사이클들, 압력들, 밸브 위치들, 등을 포함할 수도 있다. 미리 결정된 설정사항들은 제 1 FA들의 입력 단자들과 출력 단자들 사이의 제 1 FA들에 걸친 온도 차들 (예를 들어, 도 6의 T2와 T1 사이의 차 및/또는 (i) 단자들 (390, 392), 및 (ii) 도 7의 단자들 (394, 396) 에서의 온도들의 차) 을 최소화하도록 설정된다. 설정사항들은 온도 차들이 미리 결정된 값의 미리 결정된 범위 내 또는 미리 결정된 값 (예를 들어, 0) 으로 있도록 설정될 수도 있다. 설정사항들은 냉각 및/또는 온도 조절 디바이스들의 미리 결정된 설정사항들에 대해 특정한 프로세스 파라미터들, 프로세스 단계들, 레시피 값들, 등을 관련시킨 표로 나타낸 값들에 기초할 수도 있다. 설정사항들은 온도 제어기에 저장될 수도 있고 그리고/또는 온도 제어기에 의해 액세스될 수도 있다.
504에서, 온도 제어기는 하나 이상의 냉각 또는 온도 조절 디바이스들을 미리 결정된 설정사항들로 동작시킬 수도 있다. 506에서, 온도 제어기는 폐루프 제어가 수행되는지를 결정할 수도 있다. 폐루프 제어가 수행된다면, 태스크 508이 수행되고, 그렇지 않으면 태스크 522가 수행된다. 폐루프 제어가 수행되지 않고 그리고 그 결과 개루프 제어가 수행된다면, 태스크들 522 내지 534가 수행되는 동안 태스크 504가 수행된다. 폐루프 제어가 수행된다면, 미리 결정된 설정사항들은 태스크들 508 내지 516 동안 조정될 수도 있다. 태스크들 508 내지 516은 제 1 FA들 각각에 대해 수행될 수도 있다. 태스크들 522 내지 534는 스테이션 각각에 대해 수행될 수도 있다. 태스크들 508 내지 516은 태스크들 522 내지 532가 수행되는 동안 반복하여 그리고/또는 연속적으로 수행될 수도 있다.
508에서, 도 7의 온도 센서들 (356, 358) 또는 다른 온도 센서들은 제 1 FA들에 걸쳐 온도들을 검출하도록 사용될 수도 있다. 온도 센서들은 제 1 FA들의 입력부들 및 출력부들에서의 온도들을 나타내는 온도 신호들을 생성할 수도 있다. 온도 신호들은 필터 제어기 (도 5 및 도 7의 필터 제어기 (294) 또는 필터 어셈블리 제어기 (410)) 에 제공될 수도 있다.
510에서, (i) 온도들이 미리 결정된 온도 (예를 들어, 70 ℃) 가 아니고, (ii) 하나 이상의 제 1 FA들에 걸친 온도들의 차가 0이 아니거나 미리 결정된 값이거나, (iii) 하나 이상의 제 1 FA들에 걸친 온도들의 차가 미리 결정된 값으로부터 미리 결정된 범위 외부에 있다면, 그러면 냉각 및/또는 온도 조절 디바이스들의 설정사항들은 이에 따라 조정될 수도 있다. 이들 조정들은 제 1 FA의 검출된 온도들 및 제 1 FA에 대한 미리 결정된 온도에 기초하여 행해진다. 이것은 제 1 FA의 출력부에서의 냉각량과 상이하도록 제 1 FA의 입력부에서의 냉갹량을 조정하는 것을 포함한 존들의 냉각을 포함할 수도 있다. 예를 들어, 제 1 FA의 입력부에 걸쳐 또는 제 1 FA의 입력부 근방의 냉각 블록을 통해 제공된 가스/냉각 유체의 레이트 및/또는 압력은, 제 1 FA의 출력부에 걸쳐 또는 제 1 FA의 출력부 근방의 냉각 블록을 통해 제공된 가스/냉각 유체의 레이트 또는 압력과 상이할 수도 있다. 예를 들어, 제 1 FA의 입력부들 아래의 냉각 블록의 채널을 통과하는 냉각 유체는, 제 1 FA의 출력부들 아래의 냉각 블록의 제 2 채널을 통과하는 냉각 유체와 상이한 레이트로 흐를 수도 있고 그리고/또는 상이한 압력일 수도 있다.
512에서, 온도 차들이 미리 결정된 값의 미리 결정된 범위 내에 있다면, 태스크 522가 수행되고; 그렇지 않으면 태스크 514가 수행될 수도 있다. 514에서, 타이머가 시작될 수도 있다. 타이머는 온도 제어기와 함께 위치될 수도 있다. 516에서, 타이머의 시간이 미리 결정된 기간을 초과하거나 타이머가 타임 아웃된다면 (time out), 태스크 518이 수행될 수도 있고, 그렇지 않으면 태스크 512가 수행될 수도 있다.
518에서, 제 1 FA에 걸친 온도 차가 미리 결정된 값 (또는 0) 이거나 미리 결정된 값의 미리 결정된 범위 내에 있도록 감소되지 않는다는 것을 나타내도록 경보 플래그 (alert flag) 가 설정될 수도 있고 그리고/또는 경고 신호가 생성될 수도 있다. 대안적으로 또는 플래그를 설정하고 그리고/또는 경고 신호를 생성하는 것에 더하여, 또 다른 보호조치가 수행될 수도 있다. 예를 들어, 웨이퍼의 프로세싱 단계가 중지될 수도 있거나 다음의 단계로 계속되는 것이 방지될 수도 있다. 태스크 518 후에, 방법은 520에서 종료될 수도 있다.
522에서, 샤워헤드 내의 열적 커플링은 하나 이상의 신호들을 생성한다. 태스크들 522 내지 532는 생성된 신호들 각각에 대해 수행될 수도 있다. 예를 들어, 도 3 내지 도 5에서와 같이, 열적 커플링이 주로 SSR 제어를 위한 제 1 신호 및 OC 보호를 위한 제 2 신호를 생성한다면, 그러면 태스크들 522 내지 532의 제 1 버전이 제 1 신호를 위해 수행되고 반면에 태스크들 522 내지 532의 제 2 버전이 또한 제 2 신호를 위해 수행된다. 제 2 버전은 제 1 버전이 수행되는 동안 수행될 수도 있다.
524에서, 522에서 생성된 신호들은 제 1 FA의 PCB 상의 제 1 필터들 (예를 들어, 대역 차단 필터들 (362, 366)) 에 의해 필터링된다. 526에서, 제 1 필터들의 출력들은 제 1 FA의 PCB 상의 제 2 필터들 (예를 들어, 저역 통과 필터들 (364, 368)) 에 의해 필터링된다. 528에서, 제 2 필터들의 출력들은 제 2 FA (예를 들어, 제 2 FA들 (188, 206, 244, 246) 중 하나) 의 제 3 필터들 (예를 들어, 244, 246) 에 의해 필터링될 수도 있다. 530에서, 제 2 필터들 또는 제 3 필터들의 출력들은, 제 2 필터들의 출력들이 제 3 필터들에 의해 필터링되는지에 따라, 격리 디바이스를 통해 컨디셔닝된다.
532에서, 가열 엘리먼트들 및/또는 샤워헤드의 냉각은 상기에 기술된 바와 같이, 격리 디바이스의 출력들에 기초하여 제어된다. 이것은 (i) SSR들 (예를 들어, 도 3 내지 도 5의 SSR들 (250)) 에 제공된 AC 전류량을 제어하는 것, 및/또는 (ii) 가열 엘리먼트들에 공급된 AC 전류량들 및 SSR들의 상태들을 제어하는 것을 포함할 수도 있다.
534에서, 웨이퍼의 프로세싱 단계가 완료되고 그리고/또는 웨이퍼의 프로세싱이 완료된다면, 방법은 520에서 종료될 수도 있고; 그렇지 않으면 태스크 502, 506, 508 또는 522가 태스크 534 후에 수행될 수도 있다.
상기 기술된 태스크들은 예시적인 예들이도록 의도되고; 태스크들은 순차적으로, 동기식으로, 동시에, 연속적으로, 오버랩핑 시간 기간들 동안 또는 애플리케이션에 따라 상이한 순서로 수행될 수도 있다. 또한, 태스크들 중 임의의 태스크는 구현예 및/또는 이벤트들의 시퀀스에 따라 수행되지 않거나 스킵될 수도 있다.
도 10은 종래의 필터링을 사용하여 스테이션 온도들을 예시한 플롯이다. 제 1 스테이션의 제 1 전극을 위한 제 1 온도 신호 (600), 제 2 스테이션의 제 2 전극을 위한 제 2 온도 신호 (602), 및 RF 상태 신호 (604) 가 도시된다. 제 2 온도 신호 (602) 는 수행된 프로세스를 따라 특정한 시간 기간들 동안을 제외하고 제 1 온도 신호 (600) 와 오버랩하고, 여기서 제 2 온도 신호 (602) 는 제 1 온도 신호 (600) 보다 높거나 낮다. 온도 신호들 (600, 602) 은 도 8에 도시된 저역 통과 필터들과 유사한, 코어-기반 저역 통과 필터들을 포함하는, 종래의 필터링을 사용하여 제공된다. RF 상태 신호 (604) 는 RF 전압들이 전극들에 공급될 때를 나타낸다.
제 2 온도 신호 (602) 와 연관된 제 2 전극 (또는 샤워헤드) 의 절연은, 부정확한 DC 전압 신호들이 제 2 전극의 열적 커플링에 의해 검출되도록 감소된다. 이 이유로 그리고 제 2 전극이 제 1 전극과 동일한 냉각 또는 온도 조절을 겪을지라도, 제 2 온도 신호는 도시된 바와 같이, 제 1 온도 신호로부터 벗어난다.
도 11은 본 개시에 따른 필터링을 사용하여 스테이션 온도들을 예시한 플롯이다. 도 1 내지 도 9에 대해 상기에 기술된 바와 같이 열적 커플링들로부터 수신된 신호들을 필터링함으로써, 비절연 감소된 전극의 DC 전압 신호와 절연 감소된 전극의 DC 전압 신호는 필터링 후에 매칭된다. 이 필터링은 제 1 FA들에 의해 수행된 필터링을 포함한다. 이것은 도 10의 온도 신호들 (600, 602) 에 의해 도시된다. 온도 신호들 (610, 612) 은 도 10의 온도 신호들 (600, 602) 과 동일한 전극들을 위한 신호들이다. RF 상태 신호 (614) 가 또한 도시된다.
상기에 개시된 예들은 샤워헤드로부터 수신된 열적 커플링 신호들의 신호 컨디셔너들 (conditioners) 및 PCBA들을 통한 RF 필터링을 포함한다. 이것은 샤워헤드의 폐루프 온도 제어 및 온도 측정들을 교란시키는 DC 신호들을 격리하고 그리고 열적 커플링 라인들에 커플링되는 RF 에너지를 필터링한다. RF 필터링은 대응하는 스테이션들에 대해 RF 에너지를 격리시킨다. PCBA들은 온도 제어되고 그리고 온도 오프셋들을 처리하고, 이는 열적 커플링로부터의 전압들 (소 DC 전압들) 이 전도체들에 걸쳐 이송될 때 발생할 수 있다. 격리 디바이스들은 온도 제어기들로부터 열적 커플링들과는 다른 소스들에 의해 생성된 DC 신호들을 격리하도록 제공된다. 격리 디바이스들은 섀시 접지로부터 온도 제어기들을 격리할 수도 있다.
또한, 다양한 실시예들이 본 명세서에 개시된다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 기술되지만, 본 개시의 임의의 일 실시예에 대해 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예와의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 제 1 엘리먼트가 제 2 엘리먼트에 인접할 때, 제 1 엘리먼트는 제 2 엘리먼트와 콘택트할 수도 있거나 제 1 엘리먼트는 제 1 엘리먼트와 제 2 엘리먼트 사이의 임의의 중개하는 엘리먼트 없이 제 2 엘리먼트로부터 이격될 수도 있다. 제 1 엘리먼트가 제 2 엘리먼트와 제 3 엘리먼트 사이에 있을 때, 제 1 엘리먼트는 제 2 엘리먼트와 제 3 엘리먼트에 바로 연결될 수도 있거나 ("사이에 바로"로서 지칭됨) 중개하는 엘리먼트는 (i) 제 1 엘리먼트와 제 2 엘리먼트 사이 그리고/또는 (ii) 제 1 엘리먼트와 제 3 엘리먼트 사이에 연결될 수도 있다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
청구항들에 언급된 어떠한 엘리먼트도, 엘리먼트가 "을 위한 수단"이라는 구 또는 방법 청구항의 경우에, "을 위한 동작" 또는 "을 위한 단계"라는 구를 사용하여 명시적으로 언급되지 않는 한, 35 U.S.C. §112(f)의 의미 내에서 기능식 (means-plus-function) 엘리먼트로서 의도되지 않는다.

Claims (20)

  1. 인쇄 회로 기판 상에 구현된 제 1 필터 어셈블리로서, 상기 제 1 필터 어셈블리는,
    (i) 제 1 신호를 제 1 센서로부터 수신하고, (ii) 상기 제 1 신호의 제 1 부분의 통과를 방지하고, 그리고 (iii) 제 2 신호를 출력하도록 구성된 제 1 필터로서, 상기 제 1 신호의 상기 제 1 부분은 제 1 무선 주파수로 있고, 그리고 상기 제 1 신호의 제 2 부분은 플라즈마 챔버 내의 제 1 전극의 제 1 온도를 나타내는, 상기 제 1 필터, 및
    (i) 상기 제 2 신호를 수신하고, 그리고 (ii) 상기 제 2 신호의 부분의 통과를 방지하도록 구성된 제 2 필터로서, 상기 제 2 신호의 상기 부분은 제 2 무선 주파수로 있고, 그리고 상기 제 2 무선 주파수는 상기 제 1 무선 주파수 미만인, 상기 제 2 필터를 포함하는, 상기 제 1 필터 어셈블리; 및
    상기 제 2 필터의 출력에 기초하여 상기 제 1 전극의 온도를 조정하도록 구성된 제어기를 포함하는, 회로.
  2. 제 1 항에 있어서,
    상기 제 1 필터는 대역 저지 필터이고 그리고 상기 제 2 신호의 상기 부분의 통과를 허용하고; 그리고
    상기 제 2 필터는 저역 통과 필터인, 회로.
  3. 제 1 항에 있어서,
    상기 제어기는 상기 제 1 필터 어셈블리의 온도를 미리 결정된 온도로 유지하기 위해서 냉각 디바이스의 동작을 제어하도록 구성되는, 회로.
  4. 제 3 항에 있어서,
    상기 냉각 디바이스는 팬인, 회로.
  5. 제 3 항에 있어서,
    상기 냉각 디바이스는 밸브 또는 펌프인, 회로.
  6. 제 3 항에 있어서,
    상기 제어기는 상기 제 1 필터 어셈블리의 상기 온도를 미리 결정된 온도로 유지하기 위해서 상기 제 1 필터 어셈블리에 열적으로 연결된 블록을 통한 유체의 플로우를 제어하도록 구성되는, 회로.
  7. 제 3 항에 있어서,
    상기 제 1 필터 어셈블리는 제 2 센서 및 제 3 센서를 포함하고;
    상기 제 1 센서의 출력은 상기 제 1 필터 어셈블리의 입력부에서의 온도를 나타내고;
    상기 제 3 센서의 출력은 상기 제 1 필터 어셈블리의 출력부에서의 온도를 나타내고; 그리고
    상기 제어기는 상기 제 2 센서의 상기 출력 및 상기 제 3 센서의 상기 출력에 기초하여 상기 냉각 디바이스를 제어하도록 구성되는, 회로.
  8. 제 1 항에 있어서,
    상기 제 1 필터 어셈블리로부터 분리되고 그리고 상기 제 2 필터의 상기 출력을 수신하도록 구성된 제 3 필터를 더 포함하고,
    상기 제어기는 상기 제 3 필터의 출력에 기초하여 상기 제 1 전극의 상기 온도를 조정하도록 구성되고; 그리고
    상기 제 3 필터는 코어 주위에 랩핑된 (wrapped) 열적 커플링 라인들을 포함하는, 회로.
  9. 제 1 항에 있어서,
    제 2 필터 어셈블리를 더 포함하고, 상기 제 2 필터 어셈블리는,
    상기 제 2 필터의 출력을 필터링하도록 구성된 제 3 필터; 및
    상기 제 1 센서로부터의 제 2 신호에 기초하여 출력을 생성하도록 구성된 제 4 필터를 포함하고, 그리고
    상기 제어기는 (i) 상기 제 4 필터의 상기 출력에 기초하여 교류를 수신하고, 그리고 (ii) 상기 제 3 필터의 출력에 기초하여 상기 제 1 전극의 온도를 조정하도록 구성되는, 회로.
  10. 제 1 항에 있어서,
    (i) 상기 제 1 센서와 연관된 열적 커플링 라인들, 또는 (ii) 상기 제어기로부터 직류를 격리하도록 구성된 격리 디바이스를 더 포함하는, 회로.
  11. 제 1 항에 기재된 회로; 및
    제 1 무선 주파수 신호 및 제 2 무선 주파수 신호를 수신하도록 구성된 전극을 포함하고,
    상기 제 1 무선 주파수 신호는 제 1 무선 주파수로 있고,
    상기 제 2 무선 주파수 신호는 제 2 무선 주파수로 있고,
    상기 제 2 무선 주파수는 상기 제 1 무선 주파수 미만이고,
    상기 제 1 필터는 상기 제 1 무선 주파수의 통과를 방지하고 그리고 상기 제 2 무선 주파수의 통과를 허용하고, 그리고
    상기 제 2 필터는 상기 제 2 무선 주파수의 통과를 방지하는, 시스템.
  12. 제 11 항에 있어서,
    상기 제 2 필터는 상기 제 1 무선 주파수를 포함한 컷-오프 (cut-off) 주파수 이상의 주파수들의 신호들의 통과를 방지하는, 시스템.
  13. 제 1 필터 어셈블리로서,
    (i) 제 1 신호를 제 1 센서로부터 수신하고, (ii) 상기 제 1 신호의 제 1 부분의 통과를 방지하고, 그리고 (iii) 제 2 신호를 출력하도록 구성된 대역 저지 필터로서, 상기 제 1 신호의 상기 제 1 부분은 제 1 무선 주파수로 있고, 그리고 상기 제 1 신호의 제 2 부분은 플라즈마 챔버 내의 제 1 전극의 제 1 온도를 나타내는, 상기 대역 저지 필터; 및
    (i) 상기 제 2 신호를 수신하고, 그리고 (ii) 상기 제 2 신호의 부분의 통과를 방지하도록 구성된 저역 통과 필터로서, 상기 제 2 신호의 상기 부분은 제 2 무선 주파수로 있고, 그리고 상기 제 2 무선 주파수는 상기 제 1 무선 주파수 미만인, 상기 저역 통과 필터를 포함하는, 상기 제 1 필터 어셈블리; 및
    상기 저역 통과 필터의 출력에 기초하여 상기 제 1 전극의 온도를 조정하도록 구성된 제어기를 포함하는, 회로.
  14. 제 13 항에 있어서,
    상기 제어기는 상기 제 1 필터 어셈블리의 상기 온도를 미리 결정된 온도로 유지하기 위해서 상기 제 1 필터 어셈블리에 열적으로 연결된 블록을 통한 유체의 플로우를 제어하도록 구성되고;
    상기 제 1 필터 어셈블리는 제 2 센서 및 제 3 센서를 포함하고;
    상기 제 1 센서의 출력은 상기 제 1 필터 어셈블리의 입력부에서의 온도를 나타내고;
    상기 제 3 센서의 출력은 상기 제 1 필터 어셈블리의 출력부에서의 온도를 나타내고; 그리고
    상기 제어기는 상기 제 1 필터 어셈블리를 미리 결정된 온도로 유지하기 위해서 상기 제 2 센서의 상기 출력 및 상기 제 3 센서의 상기 출력에 기초하여 냉각 디바이스를 제어하도록 구성되는, 회로.
  15. 제 13 항에 있어서,
    상기 제 1 필터 어셈블리로부터 분리된 제 2 필터 어셈블리를 더 포함하고,
    상기 제 2 필터 어셈블리는,
    상기 제 2 필터의 출력을 필터링하도록 구성된 제 3 필터; 및
    상기 제 1 센서로부터의 제 2 신호에 기초하여 출력을 생성하도록 구성된 제 4 필터를 포함하고, 그리고
    상기 제어기는 (i) 상기 제 4 필터의 상기 출력에 기초하여 교류를 수신하고, 그리고 (ii) 상기 제 3 필터의 출력에 기초하여 상기 제 1 전극의 온도를 조정하도록 구성되는, 회로.
  16. 제 1 필터에서 제 1 센서로부터 제 1 신호를 수신하는 단계;
    상기 제 1 필터를 통한 상기 제 1 신호의 제 1 부분의 통과를 방지하는 단계로서, 상기 제 1 신호의 상기 제 1 부분은 제 1 무선 주파수로 있고, 그리고 상기 제 1 신호의 제 2 부분은 플라즈마 챔버 내의 제 1 전극의 제 1 온도를 나타내는, 상기 제 1 신호의 제 1 부분의 통과를 방지하는 단계;
    상기 제 1 필터로부터 제 2 신호를 출력하는 단계;
    제 2 필터에서 상기 제 2 신호를 수신하는 단계;
    상기 제 2 필터를 통한 상기 제 2 신호의 부분의 통과를 방지하는 단계로서, 상기 제 2 신호의 상기 부분은 제 2 무선 주파수로 있고, 상기 제 2 무선 주파수는 상기 제 1 무선 주파수 미만이고, 그리고 상기 제 1 필터 및 상기 제 2 필터는 인쇄 회로 기판 상에서 구현되는, 상기 제 2 신호의 부분의 통과를 방지하는 단계; 및
    상기 제 2 필터의 출력에 기초하여 상기 제 1 전극의 온도를 조정하는 단계를 포함하는, 방법.
  17. 제 16 항에 있어서,
    상기 제 1 필터는 대역 저지 필터이고 그리고 상기 제 2 신호의 상기 부분의 통과를 허용하고; 그리고
    상기 제 2 필터는 저역 통과 필터인, 방법.
  18. 제 16 항에 있어서,
    필터 어셈블리의 온도를 미리 결정된 온도로 유지하기 위해서 하나 이상의 온도 조정 디바이스들의 동작을 제어하는 단계를 더 포함하고, 상기 필터 어셈블리는 상기 제 1 필터 및 상기 제 2 필터를 포함하는, 방법.
  19. 제 18 항에 있어서,
    제 2 센서를 통해 제 3 신호를 생성하는 단계로서, 상기 제 3 신호는 상기 제 1 필터 어셈블리의 입력부에서의 온도를 나타내는, 상기 제 3 신호를 생성하는 단계;
    제 3 센서를 통해 제 4 신호를 생성하는 단계로서, 상기 제 4 신호는 상기 제 1 필터 어셈블리의 출력부에서의 온도를 나타내는, 상기 제 4 신호를 생성하는 단계; 및
    상기 제 3 신호 및 상기 제 4 신호에 기초하여 상기 냉각 디바이스를 제어하는 단계를 더 포함하는, 방법.
  20. 제 16 항에 있어서,
    상기 제 3 필터에서 상기 제 2 필터의 상기 출력을 수신하는 단계; 및
    상기 제 3 필터의 출력에 기초하여 상기 제 1 전극의 상기 온도를 조정하는 단계를 더 포함하고,
    상기 제 3 필터는 코어 주위에 랩핑된 열적 커플링 라인들을 포함하는, 방법.
KR1020160141724A 2015-10-29 2016-10-28 써모커플의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들 KR102539157B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230068535A KR20230079341A (ko) 2015-10-29 2023-05-26 열적 커플링의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562247979P 2015-10-29 2015-10-29
US62/247,979 2015-10-29
US14/965,030 US10373794B2 (en) 2015-10-29 2015-12-10 Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
US14/965,030 2015-12-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230068535A Division KR20230079341A (ko) 2015-10-29 2023-05-26 열적 커플링의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들

Publications (2)

Publication Number Publication Date
KR20170054267A true KR20170054267A (ko) 2017-05-17
KR102539157B1 KR102539157B1 (ko) 2023-05-31

Family

ID=58637884

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160141724A KR102539157B1 (ko) 2015-10-29 2016-10-28 써모커플의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들
KR1020230068535A KR20230079341A (ko) 2015-10-29 2023-05-26 열적 커플링의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230068535A KR20230079341A (ko) 2015-10-29 2023-05-26 열적 커플링의 신호로부터 무선 주파수들을 필터링하고 플라즈마 챔버 내의 전극의 온도를 제어하기 위한 시스템들 및 방법들

Country Status (3)

Country Link
US (3) US10373794B2 (ko)
KR (2) KR102539157B1 (ko)
TW (1) TWI733707B (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US11209878B2 (en) * 2018-07-31 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Discrete time loop based thermal control
KR102135110B1 (ko) * 2018-11-30 2020-07-17 세메스 주식회사 기판 처리 장치 및 가스 분산판 온도 제어 방법
TW202104656A (zh) * 2019-03-28 2021-02-01 美商蘭姆研究公司 噴淋頭護罩
JP2022552224A (ja) * 2019-10-08 2022-12-15 ラム リサーチ コーポレーション 基板処理システムの基板支持体の発熱体のための電源分離回路
CN113820531A (zh) 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种射频系统状态受控的半导体设备
TW202226897A (zh) * 2020-11-06 2022-07-01 日商東京威力科創股份有限公司 濾波器電路
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980033120A (ko) * 1996-10-24 1998-07-25 조셉제이.스위니 유도 안테나를 가지고 플라즈마 이온 밀도의 방사 분포를조절할 수 있는 병렬 플레이트 전극 플라즈마 반응기
KR20050050079A (ko) * 2002-08-13 2005-05-27 램 리서치 코포레이션 전자기선 방출로 기판 온도를 현장에서 모니터하는 방법과장치
JP2007503724A (ja) * 2003-08-22 2007-02-22 ラム リサーチ コーポレーション 多重周波数プラズマ・エッチング反応装置
KR20100129372A (ko) * 2009-05-31 2010-12-09 위순임 복합형 플라즈마 반응기

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
KR100296692B1 (ko) 1996-09-10 2001-10-24 사토 도리 플라즈마cvd장치
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
KR20000075660A (ko) 1997-02-24 2000-12-26 로버트 엠. 포터 직렬전원의 병렬 출력 고주파 발생기
US6222718B1 (en) 1998-11-12 2001-04-24 Lam Research Corporation Integrated power modules for plasma processing systems
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US6447655B2 (en) 2000-05-30 2002-09-10 Alexander D. Lantsman DC plasma power supply for a sputter deposition
WO2002080361A1 (en) 2001-03-30 2002-10-10 California Institute Of Technology Carbon nanotube array rf filter
US7960670B2 (en) * 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
US6920312B1 (en) 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
JP2003068710A (ja) 2001-08-22 2003-03-07 Tokyo Electron Ltd 部材冷却システムおよびプラズマ処理装置
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
US20040113705A1 (en) * 2002-12-10 2004-06-17 Irf Semiconductor, Inc. Integrated self-tuning L-C filter
CN100446428C (zh) * 2003-11-19 2008-12-24 华为技术有限公司 一种接收机及与所述接收机配套用的发射机
WO2005114705A2 (en) 2004-05-21 2005-12-01 Whitehouse Craig M Rf surfaces and rf ion guides
US7276135B2 (en) 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7403764B2 (en) 2004-11-30 2008-07-22 Turner Terry R RF power delivery diagnostic system
JP4593381B2 (ja) 2005-06-20 2010-12-08 東京エレクトロン株式会社 上部電極、プラズマ処理装置およびプラズマ処理方法
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7746677B2 (en) 2006-03-09 2010-06-29 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. AC-DC converter circuit and power supply
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US7718120B2 (en) 2006-12-11 2010-05-18 George Paskalov RF plasma system for medical waste treatment
CN101287327B (zh) 2007-04-13 2011-07-20 中微半导体设备(上海)有限公司 射频功率源系统及使用该射频功率源系统的等离子体反应腔室
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR100968132B1 (ko) 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 안테나 및 이를 구비한 반도체 장치
US20090325340A1 (en) 2008-06-30 2009-12-31 Mohd Aslami Plasma vapor deposition system and method for making multi-junction silicon thin film solar cell modules and panels
US8673078B2 (en) 2008-11-05 2014-03-18 Ulvac, Inc. Take-up vacuum processing apparatus
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
JP5221421B2 (ja) 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8755204B2 (en) 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
CN104966864B (zh) * 2009-11-02 2018-03-06 株式会社 Kmw 射频滤波器
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US20120097104A1 (en) 2010-10-20 2012-04-26 COMET Technologies USA, Inc. Rf impedance matching network with secondary dc input
US8803424B2 (en) 2010-10-20 2014-08-12 COMET Technologies USA, Inc. RF/VHF impedance matching, 4 quadrant, dual directional coupler with V RMS/IRMS responding detector circuitry
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9041365B2 (en) 2011-12-01 2015-05-26 Rf Micro Devices, Inc. Multiple mode RF power converter
US20130316094A1 (en) 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
CN104662792B (zh) 2012-07-26 2017-08-08 Qorvo美国公司 用于包络跟踪的可编程rf陷波滤波器
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
JP2015180768A (ja) 2014-03-06 2015-10-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US20160049279A1 (en) 2014-08-14 2016-02-18 Allied Techfinders Co., Ltd. Plasma device
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980033120A (ko) * 1996-10-24 1998-07-25 조셉제이.스위니 유도 안테나를 가지고 플라즈마 이온 밀도의 방사 분포를조절할 수 있는 병렬 플레이트 전극 플라즈마 반응기
KR20050050079A (ko) * 2002-08-13 2005-05-27 램 리서치 코포레이션 전자기선 방출로 기판 온도를 현장에서 모니터하는 방법과장치
JP2007503724A (ja) * 2003-08-22 2007-02-22 ラム リサーチ コーポレーション 多重周波数プラズマ・エッチング反応装置
JP2012015534A (ja) * 2003-08-22 2012-01-19 Lam Res Corp 多重周波数プラズマ・エッチング反応装置及び方法
KR20100129372A (ko) * 2009-05-31 2010-12-09 위순임 복합형 플라즈마 반응기

Also Published As

Publication number Publication date
TWI733707B (zh) 2021-07-21
US20220084776A1 (en) 2022-03-17
KR102539157B1 (ko) 2023-05-31
US11189452B2 (en) 2021-11-30
US20190348250A1 (en) 2019-11-14
TW201729650A (zh) 2017-08-16
KR20230079341A (ko) 2023-06-07
US20170125200A1 (en) 2017-05-04
US10373794B2 (en) 2019-08-06

Similar Documents

Publication Publication Date Title
US11189452B2 (en) Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
CN107564789B (zh) 用于基于rf功率的衬底支撑件前馈温度控制的系统与方法
KR102615187B1 (ko) 큰 동적 범위 rf 전압 센서 및 플라즈마 프로세싱 시스템들의 전압 모드 rf 바이어스 인가를 위한 방법
US10544508B2 (en) Controlling temperature in substrate processing systems
WO2016126425A1 (en) Low temperature chuck for plasma processing systems
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
CN107393847B (zh) 具有不同加热器迹线材料的层压加热器
US20220199365A1 (en) Dual-frequency, direct-drive inductively coupled plasma source
WO2019099313A1 (en) Multi-zone cooling of plasma heated window
US20230290611A1 (en) Distributed plasma source array
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers
US20230113683A1 (en) Rf reference measuring circuit for a direct drive system supplying power to generate plasma in a substrate processing system
US20190035608A1 (en) High power cable for heated components in rf environment
KR20190087609A (ko) 기판 지지부 온도를 계산하기 위한 시스템 및 방법
US20220238360A1 (en) Rf immune sensor probe for monitoring a temperature of an electrostatic chuck of a substrate processing system
CN114761616A (zh) 具有集成式rf滤波器的衬底支撑件
WO2019182709A1 (en) Isolated backside helium delivery system
WO2023055688A1 (en) Voltage and current probe assemblies for radio frequency current carrying conductors
KR20210153148A (ko) 전압 및 전류 프로브
WO2023239531A1 (en) Liquid cooling plate for cooling of dielectric window of a substrate processing system

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
GRNT Written decision to grant