KR20170039564A - 프로세스 레이트 결정을 위한 방법 및 장치 - Google Patents

프로세스 레이트 결정을 위한 방법 및 장치 Download PDF

Info

Publication number
KR20170039564A
KR20170039564A KR1020160119946A KR20160119946A KR20170039564A KR 20170039564 A KR20170039564 A KR 20170039564A KR 1020160119946 A KR1020160119946 A KR 1020160119946A KR 20160119946 A KR20160119946 A KR 20160119946A KR 20170039564 A KR20170039564 A KR 20170039564A
Authority
KR
South Korea
Prior art keywords
concentration
substrate
processing
gas byproduct
gas
Prior art date
Application number
KR1020160119946A
Other languages
English (en)
Other versions
KR102586826B1 (ko
Inventor
야신 카부지
루크 알바레데
앤드류 디 베일리
조르제 루케
선경 이
토르스텐 릴
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170039564A publication Critical patent/KR20170039564A/ko
Application granted granted Critical
Publication of KR102586826B1 publication Critical patent/KR102586826B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

프로세싱 챔버 내에서 기판을 건식 프로세싱하기 위한 방법이 제공된다. 기판은 프로세싱 챔버 내에 배치된다. 기판은 건식 프로세싱되고, 건식 프로세싱은 적어도 하나의 가스 부산물을 생성한다. 적어도 하나의 가스 부산물의 농도가 측정된다. 적어도 하나의 가스 부산물의 농도는 기판의 프로세싱 레이트를 결정하도록 사용된다.

Description

프로세스 레이트 결정을 위한 방법 및 장치{METHOD AND APPARATUS FOR DETERMINING PROCESS RATE}
본 개시는 반도체 디바이스들을 제작하는 것에 관한 것이다. 보다 구체적으로, 본 개시는 반도체 디바이스들의 제작시 사용된 에칭에 관한 것이다.
반도체 웨이퍼 프로세싱 동안, 실리콘 함유층들은 선택적으로 에칭된다.
전술한 바를 달성하기 위해 그리고 본 개시의 목적에 따라, 프로세싱 챔버 내에서 기판을 건식 프로세싱하기 위한 방법이 제공된다. 기판이 프로세싱 챔버 내에 배치된다. 기판은 건식 프로세싱되고, 건식 프로세싱은 적어도 하나의 가스 부산물을 생성한다. 적어도 하나의 가스 부산물의 농도가 측정된다. 적어도 하나의 가스 부산물의 농도는 기판의 프로세싱 레이트를 결정하도록 사용된다.
또 다른 현상에서, 프로세싱 챔버 내에서 기판 위의 적어도 8 개의 교번하는 층들을 건식 에칭하기 위한 방법이 제공된다. 기판이 프로세싱 챔버 내에 배치된다. 적어도 8 개의 교번하는 층들이 건식 에칭되고, 건식 에칭은 적어도 하나의 가스 부산물을 생성한다. 적어도 하나의 가스 부산물의 농도가 측정된다. 기판의 에칭 레이트, 에칭 선택도, 및 에칭 균일도를 결정하기 위해 적어도 하나의 가스 부산물의 농도가 사용된다. 측정된 농도에 기초하여 챔버 파라미터가 변경된다.
본 개시의 이들 및 다른 특징들은 본 개시의 상세한 기술 및 첨부된 도면들과 함께 이하에 보다 상세히 기술될 것이다.
본 개시는 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는 첨부된 도면들의 도면으로 제한이 아닌 예로서 예시된다.
도 1은 일 실시예의 고레벨 플로우차트이다.
도 2는 일 실시예에서 사용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 3은 일 실시예에서 사용될 수도 있는 컴퓨터 시스템이다.
도 4는 표면 반응 페이즈의 보다 상세한 플로우 차트이다.
도 5a 내지 도 5f는 일 실시예에 의해 제공된 그래프들이다.
도 6은 SiF4를 측정하기 위해 기판이 사용되는 방법의 고레벨 플로우 차트이다.
참조로서 인용
본 개시는 동일한 날짜에 출원된 명칭이 "APPARATUS FOR DETERMINING PROCESS RATE"이고, 미국 특허 출원 번호 제 14/863,211 호, 대리인 관리 번호 제 LAM1P521/3758-1US 호인 Albarede 등의 특허를 참조로서 인용하고, 상기 출원은 모든 목적들을 위해 참조로서 인용된다.
본 개시는 이제 첨부된 도면들에 예시된 바와 같은 몇몇 바람직한 실시예들을 참조하여 상세히 기술될 것이다. 이하의 기술에서, 다수의 구체적인 상세들이 본 개시의 전체적인 이해를 제공하기 위해 언급된다. 그러나, 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 공지의 프로세스 단계들 및/또는 구조들은 본 개시를 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
프로세스 제어 (예를 들어 종점) 를 위해 사용된 현재 기술은 방출 스펙트럼, 반사율, 또는 RF 전압 및 전류를 사용하는 플라즈마 파라미터들의 상대적인 측정치들 또는 간접적인 측정치들에 의존한다. 종점 제어를 위해, 광학 방출 스펙트럼은 CD가 21 ㎚ 이하로 축소되고 종횡비가 30:1을 넘어 증가될 때 0이 되기 쉬운 신호 변화들과 함께 한계에 도달한다. RF 전압/전류를 사용하는 인시츄 에칭 레이트 (ER) 측정치들은 챔버마다 (chamber to chamber) 항상 유지되지는 않는 상관관계들에 기초한다.
일 실시예는 플루오로카본계 화학물질들을 사용할 때 대부분의 실리콘 함유 에칭들의 직접적인 부산물 (질화물들, 산화물들, 폴리 및 실리콘 막들) 인 SiF4 또는 SiBr4, 또는 SiCl4 또는 다른 SiX4 부산물들의 절대 측정치들에 의존한다. 에칭 모델 (XSEM 이미지들에 기초한 SiF4 질량 평형 (mass balance) 또는 XSEM 이미지들을 사용하여 캘리브레이팅된 피처 프로파일 시뮬레이션 모델) 과 측정치를 조합함으로써, 종점, 깊이의 함수로서 ER, 평균 웨이퍼 선택도, 및 특정한 조건들에서 균일도를 예측할 수 있다. SiF4 부산물들은 정확한 예측을 위해 ppb (parts per billion) 레벨 검출을 허용하는 양자 캐스케이드 레이저 분광법을 사용하는 IR 흡수를 사용하여 검출된다.
본 개시자는 에칭 프로세스를 제어하기 위해 SiF4 IR-흡수와 결합된 에칭 프로파일 모델링을 조합하는 방법을 기술한다. 방법은 DRAM 셀-에칭 및 3D-NAND 홀 및 트렌치 패터닝과 같은 고 종횡비 적용예들에서 방출 스펙트럼과 같은 전통적인 방법들의 범위 (reach) 를 넘어 종점 능력의 확장을 허용한다. 절대 밀도 측정치와 에칭 프로파일 방출 모델링의 조합은 ER, 선택도, 및 실행간 (run-to-run) 프로세스 매칭을 달성하기 위해 사용될 수 있는 균일도와 같은 인시츄 에칭 프로세스 파라미터들을 부가적으로 결정하게 한다.
일 실시예에서, 에칭 프로세스는: 1) 프로세스/CD 제어를 위한 고 종횡비 DRAM 및 3D-NAND 에칭들의 종점, 2) 미래의 노드들에 대한 종점 검출을 스케일링하기 위한 방법, 3) a) 평균 웨이퍼 ER 및 깊이의 함수로서 ER (ARDE), b) 평균 웨이퍼 균일도 및 선택도, 및 c) 측정치들 양자가 실행간 매칭 및 고장 검출을 위해 사용될 수 있다고 인시츄 결정할 수 있는 모델과의 결합, 4) 정확한 에칭 종점 및 에칭 파라미터들 추정을 위해 필요한 ppb 레벨 검출 한계를 달성하기 위해 고 민감도 양자 캐스케이드 레이저 분광법을 사용하는 것을 결정하도록 사용될 수 있는 직접적인 안정한 부산물을 측정하는 것을 특징으로 한다.
이해를 용이하게 하도록, 도 1은 일 실시예에서 사용된 프로세스의 고레벨 플로우차트이다. 기판이 프로세싱 챔버 내에 배치된다 (단계 104). 기판이 건식 프로세싱된다 (단계 108). 건식 프로세싱 동안 가스 부산물이 생성된다. 가스 부산물의 농도가 측정된다 (단계 112). 가스 부산물의 측정된 농도는 프로세싱 레이트, 종점, 균일도, 종횡비 종속 에칭 레이트, 및 선택도를 결정하도록 사용된다 (단계 116). 가스 부산물의 측정된 농도에 기초하여 챔버 설정들이 변경된다 (단계 120). 결정은 건식 프로세스가 종료되었는지 여부에 따라 결정된다 (단계 124). 건식 프로세스가 완료되지 않았다면 부산물의 농도를 더 측정하고 사이클을 계속함으로써 기판의 건식 프로세싱 (108) 이 계속된다. 건식 프로세스가 완료되었다면, 프로세스는 종료한다.
예들
바람직한 실시예의 일 예에서, 실리콘 함유층을 갖는 기판이 프로세싱 챔버 내에 배치된다 (단계 104). 도 2는 일 실시예에 따라 실리콘 함유층을 에칭하는 프로세스를 수행하도록 사용될 수도 있는 플라즈마 프로세싱 챔버 (200) 의 예를 개략적으로 예시한다. 플라즈마 프로세싱 챔버 (200) 는 내부에 플라즈마 프로세싱 한정 챔버 (204) 를 갖는 플라즈마 반응기 (202) 를 포함한다. 매칭 네트워크 (208) 에 의해 튜닝되는 (tuned), 플라즈마 전력 공급부 (206) 는 유도 결합 전력을 제공함으로써 플라즈마 프로세싱 한정 챔버 (204) 내에서 플라즈마 (214) 를 생성하기 위해 전력 윈도우 (212) 근방에 위치되는 TCP 코일 (210) 에 전력을 공급한다. TCP 코일 (상부 전력 소스) (210) 은 프로세싱 한정 챔버 (204) 내에서 균일한 확산 프로파일을 생성하도록 구성될 수도 있다. 예를 들어, TCP 코일 (210) 은 플라즈마 (214) 에서 토로이달 (toroidal) 전력 분포를 생성하도록 구성될 수도 있다. 전력 윈도우 (212) 는, TCP 코일 (210) 로부터 플라즈마 프로세싱 한정 챔버 (204) 로 에너지가 통과하는 것을 허용하는 동안, 플라즈마 프로세싱 한정 챔버 (204) 로부터 TCP 코일 (210) 을 분리하도록 제공된다. 매칭 네트워크 (218) 에 의해 튜닝되는 웨이퍼 바이어스 전압 전력 공급부 (216) 는 전극 (220) 에 의해 지지되는 기판 (204) 상에 바이어스 전압을 세팅하도록 전극 (220) 에 전력을 제공한다. 제어기 (224) 는 플라즈마 전력 공급부 (206), 가스 소스/가스 공급 메커니즘 (230) 및 웨이퍼 바이어스 전압 공급부 (216) 에 대한 포인트들을 세팅한다.
플라즈마 전력 공급부 (206) 및 웨이퍼 바이어스 전압 전력 공급부 (216) 는 예를 들어, 13.56㎒, 27㎒, 2㎒, 60 ㎒, 200 ㎑, 2.54 ㎓, 400㎑, 및 1 ㎒ 또는 이 주파수들의 조합과 같은 특정한 무선 주파수들에서 동작하도록 구성될 수도 있다. 플라즈마 전력 공급부 (206) 및 웨이퍼 바이어스 전압 전력 공급부 (216) 는 목표된 프로세스 성능을 달성하기 위한 범위의 전력들을 공급하도록 적절하게 사이징될 수도 있다. 예를 들어, 일 실시예에서, 플라즈마 전력 공급부 (206) 는 50 내지 5000 W의 범위의 전력을 공급할 수도 있고, 웨이퍼 바이어스 전압 전력 공급부 (216) 는 20 내지 2000 V의 범위의 바이어스 전압을 공급할 수도 있다. 최대 4 ㎸ 또는 5 ㎸의 바이어스를 위해 25 ㎾ 이하의 전력이 제공된다. 또한, TCP 코일 (210) 및/또는 전극 (220) 은 단일 전력 공급부에 의해 전력이 공급될 수도 있고 또는 복수의 전력 공급부들에 의해 전력이 공급될 수도 있는 2 이상의 서브코일들 또는 서브전극들을 포함할 수도 있다.
도 2에 도시된 바와 같이, 플라즈마 프로세싱 챔버 (200) 는 가스 소스/가스 공급 메커니즘 (mechanism) (230) 을 더 포함한다. 가스 소스 (230) 는 샤워헤드 (204) 와 같은 가스 유입부를 통해 플라즈마 프로세싱 한정 챔버 (204) 와 유체로 연통된다. 가스 유입부는 플라즈마 프로세싱 한정 챔버 (204) 에서 임의의 유리한 위치에 위치될 수도 있고, 가스를 주입하기 위해 임의의 형태를 취할 수도 있다. 그러나, 바람직하게, 가스 유입부는 플라즈마 프로세싱 한정 챔버 (204) 에서 복수의 구역들로의 가스들의 각각의 플로우의 독립적 조정을 허용하는, "튜닝 가능한 (tunable)" 가스 주입 프로파일을 생성하도록 구성될 수도 있다. 프로세스 가스들 및 부산물들은, 플라즈마 프로세싱 한정 챔버 (204) 내부를 특정 압력으로 유지하도록 기능하는 압력 제어 밸브 (242) 및 펌프 (244) 를 통해 플라즈마 프로세싱 한정 챔버 (204) 로부터 제거된다. 가스 소스/가스 공급 메커니즘 (230) 은 제어기 (224) 에 의해 제어된다. 캘리포니아, 프리몬트 소재의 Lam Research Corp.의 Kiyo가 일 실시예를 실시하기 위해 사용될 수도 있다. 다른 예들에서, 캘리포니아, 프리몬트 소재의 Lam Research Corp.의 Flex가 일 실시예를 실시하기 위해 사용될 수도 있다.
이 실시예에서, 펌프 (244) 다음 배기 파이프 (246) 에 연결되고, 배기 가스가 흐르는 가스 셀 (232) 이 제공된다. IR 광원 (234) 은, IR 광원 (234) 으로부터의 IR 빔이 가스 셀 (232) 내로 지향되도록 가스 셀 (232) 내 윈도우에 인접하게 위치된다. IR 빔은 ppb 레벨 또는 훨씬 보다 낮은 0.01 ppt 검출 한계들을 달성하기 위해 (통상적으로 1 m보다 긴) 복수 회 가스 셀을 통해 이동할 수 있다. IR 광은 가스 셀 내부를 이동할 때 가스에 의해 흡수된다. IR 검출기 (236) 는 광 흡수 레벨을 측정하기 위해 가스 셀 (232) 내 또 다른 윈도우에 인접하게 위치된다.
도 3은 실시예들에서 사용된 제어기 (224) 를 구현하기에 적합한 컴퓨터 시스템 (300) 을 도시하는 고레벨 블록도이다. 컴퓨터 시스템은, 집적 회로, 인쇄 회로 기판, 및 소형 휴대용 디바이스로부터 대형 슈퍼 컴퓨터까지의 범위의 다수의 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (300) 은 하나 이상의 프로세서들 (302) 을 포함하고, (그래픽들, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (304), 메인 메모리 (306) (예를 들어, 랜덤 액세스 메모리 (RAM)), 저장 디바이스 (308) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (310) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스 (312) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스들 등등), 및 통신 인터페이스 (314) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (314) 는, 소프트웨어 및 데이터로 하여금 링크를 통해 외부 디바이스들과 컴퓨터 시스템 (300) 사이에서 전송되게 한다. 시스템은 전술한 디바이스들/모듈들이 연결된 통신 인프라스트럭처 (316) (예를 들어, 통신 버스, 크로스-오버 바, 또는 네트워크) 를 또한 포함할 수도 있다.
통신 인터페이스 (314) 를 통해 전송된 정보는, 신호들을 반송하는 통신 링크를 통해, 전기, 전자기, 광, 또는 통신 인터페이스 (314) 에 의해 수신될 수 있는 다른 신호들과 같은 신호들의 형태일 수도 있으며, 와이어 또는 케이블, 광 섬유, 전화 선, 무선 전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있다. 그러한 통신 인터페이스를 사용하여, 하나 이상의 프로세서들 (302) 이 네트워크로부터 정보를 수신할 수도 있거나, 상술한 방법 단계들을 수행하는 것의 과정에서 네트워크로 정보를 출력할 수도 있다는 점이 고려된다. 또한, 방법 실시예들은, 프로세서들 상에서만 실행될 수도 있거나, 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크에 걸쳐 실행될 수도 있다.
"비일시적 컴퓨터 판독가능 매체 (non-transient computer readable medium)" 라는 용어는, 일반적으로 하드 디스크, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 지속성 메모리 (persistent memory) 의 다른 형태들과 같은, 저장 디바이스들, 및 이동식 저장 장치, 보조 메모리 (secondary memory), 메인 메모리와 같은 매체들을 지칭하는데 사용되고, 반송파 (carrier wave) 또는 신호들과 같은 일시적인 대상을 커버하는 것으로 해석되지 않아야 한다. 컴퓨터 코드의 예들은, 컴파일러에 의해 생산되는 것과 같은 머신 (machine) 코드, 및 번역기를 사용하여 컴퓨터에 의해 실행되는 보다 높은 레벨의 코드를 포함하는 파일들을 포함한다. 또한, 컴퓨터 판독가능 매체는, 프로세서에 의해 실행가능한 인스트럭션의 시퀀스를 표현하고, 반송파에 내재된 컴퓨터 데이터 신호에 의해 송신되는 컴퓨터 코드일 수도 있다.
건식 프로세스는 프로세싱 챔버 내의 기판에 대해 수행되고, 건식 프로세스는 적어도 하나의 가스 부산물을 생성한다 (단계 108). 상이한 실시예들에서, 기판은 실리콘 웨이퍼이고, 에칭되거나 기판 위의 하나 이상의 실리콘 함유층들이 에칭된다. 이 예에서, 교번하는 산화 실리콘층 또는 질화 실리콘층의 스택이 에칭된다. 이러한 산화 실리콘 및 질화 실리콘의 교번하는 스택은 3D 메모리 디바이스들에 사용되는, ONON으로 지정된다. 이 예에서, ONON의 적어도 8 개의 교번하는 층들이 있다. 이러한 스택의 에칭 시, ER 및 선택도 양자가 종횡비와 함께 감소하고, 이는 산화 실리콘과 질화 실리콘의 에칭 레이트 간의 차는 종횡비, 에칭 폭에 대한 에칭 깊이의 비가 증가함에 따라 감소한다는 것을 의미한다. 이러한 스택을 에칭하기 위해 CxFyHz/O2의 에칭 가스가 가스 소스 (230) 에 의해 제공된다. RF 전력이 가스 소스 (230) 에 의해 제공된다. 에칭 가스를 에칭 플라즈마로 형성하도록 플라즈마 전력 공급부 (206) 에 의해 TCP 코일 (210) 로 RF 전력이 제공되고, 에칭 플라즈마는 스택을 에칭하고 이 예에서 SiF4인 적어도 하나의 가스 부산물을 형성한다. (부산물 각각의 흡수 대역으로 IR 광원을 튜닝함으로써 가스 화학물질에 따라 SiBr4 또는 SiCl4와 같은 다른 에칭 부산물들이 모니터링될 수 있다.)
건식 프로세싱 동안, 적어도 하나의 가스 부산물의 농도가 측정된다 (단계 112). 이 실시예에서, 펌프 (244) 로부터 배기물이 가스 셀 (232) 로 흐른다. IR 광원 (234) 은 IR 광의 빔을 가스 셀 (232) 내로 제공한다. 이 실시예에서, IR 광의 빔이 IR 광의 빔의 강도를 측정하는 IR 검출기 (236) 로 지향되지 전에 IR 광의 빔을 복수 회 반사하도록 매우 반사성인 미러들의 세트가 가스 셀 (232) 의 측면들에 구비된다. IR 빔의 광학적 경로 길이는 수 미터 내지 수 백 미터에 이를 수 있어서 ppb 이하 검출 한계를 허용한다. IR 검출기 (236) 로부터의 데이터는 SiF4의 농도를 결정하기 위해 이 데이터를 사용하는 제어기 (224) 로 전송된다.
측정된 농도는 프로세싱 레이트, 종점, 균일도, 및 선택도를 결정하기 위해 사용된다 (단계 116). 도 4는 프로세싱 레이트를 결정하기 위해 측정된 농도를 사용하는 단계의 보다 상세한 플로우차트이다. 농도 모델들의 라이브러리가 제공된다 (단계 404). 이러한 모델들은 종횡비, 균일도, 및 선택도의 함수로서 피처/웨이퍼 스케일 에칭을 제공할 수도 있다. 이러한 모델들은 실험에 의해 제공될 수도 있고 또는 분석적으로 계산될 수도 있고, 또는 양자의 방법들을 사용하여 결정될 수도 있다. 모델 생성의 예에서, 에칭은 시간에 걸쳐 가스 부산물의 농도가 측정되는 곳에 제공될 수도 있다. 이 예는 에칭을 사용하기 때문에, 프로세싱 레이트는 에칭 레이트이다. 에칭된 피처들은 검사되고 측정된다. 시간에 걸친 피처들의 측정치들 및 부산물 가스의 농도의 측정치로부터 에칭 레이트, 종점, 균일도, 및 선택도를 결정하기 위해 기하학적 에칭 모델들 및 질량 평형된 공식들이 사용될 수도 있다. 일 실시예에서, 모델은 단일 농도를 가질 것이다. 또 다른 실시예에서, 모델은 다양한 시간들에서 복수의 농도들을 갖는다. 이어서 시간에 걸쳐 복수의 측정된 농도들은 가장 가까운 모델을 매칭하기 위해 사용된다 (단계 408). 가장 가까운 모델은 에칭 레이트를 결정하도록 사용된다 (단계 412). 에칭 레이트는 시간에 걸쳐 에칭된 피처들의 깊이로 상승한다. 에칭 레이트, 종점, 균일도, 및 선택도를 결정하기 위해, 단일 측정치 또는 복수의 측정치들이 사용될 수도 있다. 종점은 에칭이 완료되는 때를 나타낸다. 이는 정지층에 도달될 때 또는 신호의 단절에 도달될 때에 의해 결정될 수도 있다. 상기 언급된 바와 같이, 종횡비는 에칭 폭에 대한 에칭 깊이의 비이다. 피처의 CD 진전은 모델에 의해 추출되기 때문에 에칭된 피처들의 종횡비와 함께 ER 및 선택도를 결정하기 위해 측정된 농도가 사용된다. 균일도는 피처들이 얼마나 고르게 에칭되었는가의 측정치이다. 피처들은 피처 폭 또는 피처 밀도에 따라 상이한 레이트들로 에칭될 수도 있고, 불균일한 에칭 레이트들을 유발한다. 측정된 농도는 에칭 레이트들의 균일도를 결정하기 위해 사용될 수도 있다. 선택도는 일 재료의 에칭 레이트 대 또 다른 재료의 에칭 레이트의 차의 측정치이다. 이 예에서, 선택도는 ONON에 대해 질화 실리콘과 비교하여 산화 실리콘의 에칭 레이트 차 또는 OPOP 구조들에 대해 폴리에 대한 산화물의 에칭 레이트 차일 수도 있다. 대안으로서, 선택도는 마스크 재료 또는 정지층의 에칭 레이트와 비교하여 산화 실리콘의 에칭 레이트에서 상이할 수도 있다. 측정된 농도는 에칭 선택도를 결정하기 위해 사용될 수도 있다.
도 5a는 ONON 스택이 에칭될 때 SiF4의 농도 대 에칭 시간의 그래프 (504) 이다. 교번하는 질화물 층 및 산화물 층을 에칭함으로써 교번하는 농도가 유발된다. 이 실시예의 레시피는 SiO보다 빠르게 SiN을 에칭한다. 그 결과, 피크 농도는 SiN이 에칭될 때이고 최하점들 (valleys) 은 SiO가 에칭될 때이다. 피크와 최하점 사이의 시간들은 SiN과 SiO 사이 및 그 반대의 전이점들이다. 터치 다운 지점 (touch down point) (508) 은 에칭 종점을 나타내고, 마지막 SiO 층 또는 SiN 층이 에칭되었을 때이다. 피크들 및 최하점들의 위치들로부터, SiO:SiN의 에칭 레이트에 대한 0.6:1 내지 0.4:1의 선택도가 도출될 수 있다. 도 5b는 모델로부터 추출된 피처들의 상단 CD (tCD) (512) 및 하단 CD (bCD) (516) 의 그래프를 도시한다. 도 5b에서, 실선은 웨이퍼의 중심에서 CD들의 값들이고, 점선은 웨이퍼의 에지에서 CD들을 나타낸다. 상단 CD 및 하단 CD로부터 ARDE 계수가 결정될 수도 있다. 이 예에서, ARDE는 0.05로 결정된다. 균일도는 1 %로 결정된다. 도 5c는 tCD의 확대된 그래프이다. 도 5d는 SiF4의 농도 레이트 기울기 대 시간의 그래프이다. 시간에 걸친 최소 농도 및 최대 농도가 이 그래프로부터 획득될 수도 있다. 도 5e는 SiN (532) 및 SiO (536) 에 대한 피크 위치 및 최하점 위치로부터 획득된 에칭 레이트 대 시간의 그래프를 도시한다. 깊이는 시간에 따라 증가하기 때문에, 에칭 레이트 대 깊이가 그래프들로부터 도출될 수도 있다. 도 5f는 측정된 선택도와 모델 간의 차이의 그래프 (540) 이다.
챔버 설정들은 측정된 농도에 기초하여 변경된다 (단계 120). 측정된 농도를 사용하여 종점이 발견되지 않을 때 (단계 124), 에칭 프로세스가 계속되고 프로세스는 단계 112로 돌아가 계속된다. 에칭 정지가 발견되면, 에칭은 에칭 가스의 플로우를 정지함으로써 그리고 플라즈마 전력 공급부 (206) 로부터의 전력을 정지함으로써 정지될 수도 있다. ER이 너무 낮다고 결정된다면, 가스 또는 RF 전력과 같은 에칭 파라미터들은 ER을 증가시키도록 변경될 수도 있다. 불균일도가 너무 높다고 결정되면, 상이한 영역 챔버로의 가스 피드 또는 ESC 구역들 온도들과 같은 파라미터들이 균일도를 개선하기 위해 변경될 수도 있다.
ER과 같은 에칭 프로세스 파라미터들은, 웨이퍼-상 (on-wafer) 계측 파라미터들에 이들 파라미터들을 상관시킴으로써 실행간 그리고 챔버간 성능을 결정하기 위해 발전된 고장 검출을 위해 사용될 수 있다.
에칭 부산물들, 예를 들어 SiF4의 농도 측정시 높은 정확도를 달성하기 위해, 웨이퍼가 에칭될 챔버의 다양한 조건들 하에서 부산물들에 대한 기여도를 결정해야 한다. 상이한 타입의 기판들의 사용에 기초한 캘리브레이션 방법은 기여도 각각을 디컨볼루션하도록 (deconvolute) 사용된다. 도 6은 예를 들어 포토-레지스트로 이루어진 기판 A가 챔버 내에 배치되고 (단계 604), 이어서 SiF4의 프로세스 마모 농도가 측정되고 (단계 604), 측정된 농도가 챔버로부터 SiF4 방출 기여도를 결정하기 위해 사용되는 (612) 방법의 고레벨 플로우차트이다. 이어서 양 챔버 및 다양한 전력 설정들에 대해 웨이퍼 (단계 620) 로부터 SiF4의 방출을 측정하기 위해 산화물 웨이퍼 (기판 B) 가 프로세싱 챔버 내로 도입된다 (단계 616). 농도 측정치들 및 산화물 엑스시츄 (ex-situ) ER을 조합함으로써, 챔버 각각에 대한 SiF4 방출을 ER을 사용하여 캘리브레이팅할 수 있다. 이 캘리브레이션은 실행간 및 챔버간 프로세스 제어 및 고장 검출을 달성하기 위해 요구된다. 추가 캘리브레이션이 기판 B를 제거하고 또 다른 기판 (기판 C) 을 프로세싱 챔버에 배치함으로써 달성될 수도 있다 (단계 624). 부산물들의 농도는 다양한 전력 설정들에서 프로세스에 대해 측정된다 (단계 628). 기판들 및 챔버로부터 기여도는 측정치들에 기초하여 결정된다 (단계 632). 캘리브레이션은 챔버에 대한 에칭 레이트와 부산물 농도 간에 확립된다 (단계 636).
다양한 실시예들이 프로세싱 레이트, 종점, 균일도, 에칭 레이트 종속 비, 또는 선택도를 결정하기 위해 부산물 가스의 측정된 농도의 사용을 허용하도록 XSEM 프로파일들 및/또는 단순한 질량 평형 공식들과 같은 측정된 프로파일들에 기초하여 일반적인 기하학적 에칭 모델을 사용할 수도 있다.
배기 펌프 다음에 가스 셀을 배치하는 것의 장점들은 프로세싱 챔버 내 가스보다 배기 펌프 다음의 가스가 보다 치밀하다는 것이다. 부가적으로, 반사성 표면들이 플라즈마에 의해 열화되지 않도록, 반사성 표면들이 프로세싱 챔버 내에서 플라즈마에 노출되지 않는다. 다른 실시예들에서, 가스 셀은 플라즈마 프로세싱 챔버 내에 있고, 예컨대 플라즈마 영역을 둘러싼다.
다양한 실시예들이 DRAM 디바이스들 및 3D-NAND 디바이스들과 같은 메모리 디바이스들을 제공하는데 유리하다. 다양한 실시예들에서, 플라즈마 프로세스는 실리콘 함유층 또는 로우-k 유전체 층의 에칭 프로세스이다. 다양한 실시예들에서, RF 전력은 유도 결합되거나 용량 결합될 수도 있다. 캘리포니아, 프리몬트 소재의 Lam Research Corp.의 Flex는 DRAM 구조체들 및 3D NAND 구조체들을 에칭하기 위해 용량 결합을 사용하는 실시예를 실시하도록 사용될 수도 있다. 다른 실시예에서, 다른 타입들의 플라즈마 전력 결합이 사용될 수도 있다. 다른 실시예들에서, 산화 실리콘 및 폴리실리콘의 교번하는 층들 (OPOP) 이 에칭될 수도 있다.
본 개시가 몇몇 바람직한 실시예들로 기술되었지만, 본 발명의 범위 내에 있는, 이들의 대안들, 치환들, 수정들 및 다양한 대체 등가물들이 있다. 본 개시의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 있다는 것을 또한 주의해야 한다. 따라서, 이하의 첨부된 청구항들은 본 개시의 진정한 정신 및 범위 내에 있는 모든 이러한 대안들, 치환들, 및 다양한 대체 등가물들을 포함하는 것으로 해석되도록 의도된다.

Claims (17)

  1. 프로세싱 챔버 내에서 기판을 건식 프로세싱하기 위한 방법에 있어서,
    상기 프로세싱 챔버 내에 상기 기판을 위치시키는 단계;
    적어도 하나의 가스 부산물을 생성하는, 상기 기판을 건식 프로세싱하는 단계;
    상기 적어도 하나의 가스 부산물의 농도를 측정하는 단계; 및
    상기 기판의 프로세싱 레이트를 결정하기 위해 상기 적어도 하나의 가스 부산물의 상기 농도를 사용하는 단계를 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  2. 제 1 항에 있어서,
    프로세싱 균일도를 결정하기 위해 상기 적어도 하나의 가스 부산물의 상기 농도를 사용하는 단계를 더 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  3. 제 2 항에 있어서,
    프로세스 종점을 결정하기 위해 상기 적어도 하나의 가스 부산물의 상기 농도를 사용하는 단계를 더 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 프로세스는 플라즈마 에칭이고, 그리고
    종횡비 종속 ER을 결정하기 위해 상기 적어도 하나의 가스 부산물의 상기 농도를 사용하는 단계를 더 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 프로세스는 교번하는 층들을 플라즈마 에칭하는 것이고, 그리고
    종횡비 종속 선택도를 결정하기 위해 상기 적어도 하나의 가스 부산물의 상기 농도를 사용하는 단계를 더 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  6. 제 5 항에 있어서,
    프로세싱 레이트 및 프로세싱 균일도와 관련한 복수의 농도 모델들을 생성하는 단계; 및
    시간에 걸쳐 상기 부산물들의 측정된 농도를 상기 복수의 농도 모델들 중 적어도 하나에 피팅 (fitting) 하는 단계를 더 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 적어도 하나의 가스 부산물의 상기 측정된 농도에 기초하여 챔버 설정을 변경하는 단계를 더 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 기판을 건식 프로세싱하는 단계는 상기 기판 또는 상기 기판 위의 스택을 플라즈마 에칭하는 단계를 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 기판을 건식 프로세싱하는 단계는 실리콘 함유층을 에칭하는 단계를 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 부산물들은 SiF4, SiCl4, SiBr4, COF2, CO2, CO 및 CF4 중 적어도 하나를 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  11. 제 1 항에 있어서,
    상기 적어도 하나의 가스 부산물의 상기 농도를 사용하는 단계는 에칭 선택도를 결정하기 위한 것인, 기판을 건식 프로세싱하기 위한 방법.
  12. 제 1 항에 있어서,
    상기 적어도 하나의 가스 부산물의 농도를 측정하는 단계는 상기 적어도 하나의 가스 부산물의 농도를 측정하기 위해 복수-통과 가스 셀을 사용한 IR 흡수를 사용하는 단계를 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  13. 제 12 항에 있어서,
    상기 IR 흡수는 상기 적어도 하나의 가스 부산물이 배기 펌프를 통해 펌핑된 후 상기 적어도 하나의 가스 부산물의 농도를 측정하는, 기판을 건식 프로세싱하기 위한 방법.
  14. 제 1 항에 있어서,
    프로세싱 레이트 및 프로세싱 균일도와 관련한 복수의 농도 모델들을 생성하는 단계; 및
    시간에 걸쳐 상기 부산물의 측정된 농도를 상기 복수의 농도 모델들 중 적어도 하나에 피팅하는 단계를 더 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  15. 제 1 항에 있어서,
    상기 부산물들은 SiF4를 포함하는, 기판을 건식 프로세싱하기 위한 방법.
  16. 프로세싱 챔버 내에서 기판 위의 적어도 8 개의 교번하는 층들을 건식 에칭하기 위한 방법에 있어서,
    상기 프로세싱 챔버 내에 상기 기판을 배치하는 단계;
    적어도 하나의 가스 부산물을 생성하는, 상기 적어도 8 개의 교번하는 층들을 건식 에칭하는 단계;
    상기 적어도 하나의 가스 부산물의 농도를 측정하는 단계;
    상기 기판의 에칭 레이트, 에칭 선택도, 및 에칭 균일도를 결정하기 위해 상기 적어도 하나의 가스 부산물의 상기 농도를 사용하는 단계; 및
    상기 측정된 농도에 기초하여 챔버 파라미터를 변경하는 단계를 포함하는, 기판 위의 적어도 8 개의 교번하는 층들을 건식 에칭하기 위한 방법.
  17. 제 16 항에 있어서,
    에칭 레이트 및 에칭 균일도와 관련한 복수의 농도 모델들을 생성하는 단계; 및
    시간에 걸쳐 상기 부산물들의 측정된 농도를 상기 복수의 농도 모델들 중 적어도 하나에 피팅하는 단계를 더 포함하는, 기판 위의 적어도 8 개의 교번하는 층들을 건식 에칭하기 위한 방법.
KR1020160119946A 2015-09-23 2016-09-20 프로세스 레이트 결정을 위한 방법 및 장치 KR102586826B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/862,983 2015-09-23
US14/862,983 US9735069B2 (en) 2015-09-23 2015-09-23 Method and apparatus for determining process rate

Publications (2)

Publication Number Publication Date
KR20170039564A true KR20170039564A (ko) 2017-04-11
KR102586826B1 KR102586826B1 (ko) 2023-10-06

Family

ID=58283133

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160119946A KR102586826B1 (ko) 2015-09-23 2016-09-20 프로세스 레이트 결정을 위한 방법 및 장치

Country Status (4)

Country Link
US (2) US9735069B2 (ko)
KR (1) KR102586826B1 (ko)
CN (1) CN107026079B (ko)
TW (1) TW201721736A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170084426A1 (en) * 2015-09-23 2017-03-23 Lam Research Corporation Apparatus for determining process rate
US9735069B2 (en) 2015-09-23 2017-08-15 Lam Research Corporation Method and apparatus for determining process rate
JP2018046185A (ja) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
US10395358B2 (en) * 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US10302553B2 (en) 2017-08-30 2019-05-28 Lam Research Corporation Gas exhaust by-product measurement system
US10784174B2 (en) * 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
US10962285B2 (en) 2018-07-13 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer drying system
TWI815932B (zh) * 2018-07-20 2023-09-21 日商東京威力科創股份有限公司 3d nand結構中的矽氮化物蝕刻及氧化矽沉積控制
WO2020106297A1 (en) * 2018-11-21 2020-05-28 Lam Research Corporation Method for determining cleaning endpoint
JP7232705B2 (ja) * 2019-05-16 2023-03-03 東京エレクトロン株式会社 プラズマ処理装置
CN115097570B (zh) * 2022-08-22 2023-04-07 上海羲禾科技有限公司 一种波导的刻蚀方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352902A (en) * 1992-07-06 1994-10-04 Tokyo Electron Kabushiki Kaisha Method for controlling plasma surface-treatments with a plurality of photodetectors and optical filters
JPH07263415A (ja) * 1994-03-18 1995-10-13 Fujitsu Ltd 半導体装置の製造方法
JPH09203707A (ja) * 1995-10-10 1997-08-05 L'air Liquide 室の流出物のモニターシステム、および吸着分光測定からなる半導体加工システム、およびその使用方法
JP2006278498A (ja) * 2005-03-28 2006-10-12 Tokyo Electron Ltd プラズマエッチング方法及びその装置
US20130193108A1 (en) * 2012-01-27 2013-08-01 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AT376301B (de) 1982-05-06 1984-11-12 List Hans Verfahren zur kontinuierlichen messung der masse von aeorosolteilchen in gasfoermigen proben sowie vorrichtung zur durchfuehrung des verfahrens
US5463460A (en) 1993-07-08 1995-10-31 Applied Materials, Inc. Particle monitoring sensor
US5949537A (en) * 1996-04-18 1999-09-07 American Air Liquide Inc. In-line cell for absorption spectroscopy
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
US5966586A (en) * 1997-09-26 1999-10-12 Lam Research Corporation Endpoint detection methods in plasma etch processes and apparatus therefor
JP4387573B2 (ja) * 1999-10-26 2009-12-16 東京エレクトロン株式会社 プロセス排気ガスモニタ装置及び方法、半導体製造装置、及び半導体製造装置管理システム及び方法
US6442736B1 (en) * 2000-10-03 2002-08-27 L'air Liquide Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'expolitation Des Procedes Georges Claude Semiconductor processing system and method for controlling moisture level therein
US6336841B1 (en) 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US7598509B2 (en) 2004-11-01 2009-10-06 Cymer, Inc. Laser produced plasma EUV light source
US6616759B2 (en) 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
JP3891848B2 (ja) * 2002-01-17 2007-03-14 東京エレクトロン株式会社 処理装置および処理方法
NO316113B1 (no) 2002-01-24 2003-12-15 Proanalysis As Fremgangsmåte, probe og system for laserbasert rensemekanisme
US6617175B1 (en) * 2002-05-08 2003-09-09 Advanced Technology Materials, Inc. Infrared thermopile detector system for semiconductor process monitoring and control
JP3799314B2 (ja) 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
US6927076B2 (en) * 2002-10-05 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Method for recovering a plasma process
US6908846B2 (en) * 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US20060021633A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7301640B2 (en) 2004-12-21 2007-11-27 Honeywell International, Inc. System and method of condensation reduction in an electrical unit
CA2597457A1 (en) * 2005-02-14 2006-08-17 Japan Science And Technology Agency Apparatus for gas concentration measuring according to gas correlation method
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20090316749A1 (en) 2008-06-23 2009-12-24 Matthew Fenton Davis Substrate temperature measurement by infrared transmission in an etch process
US8452733B2 (en) 2008-10-17 2013-05-28 Oracle International Corporation Data decay management
US20100190098A1 (en) 2009-01-27 2010-07-29 Applied Materials, Inc. Infrared endpoint detection for photoresist strip processes
US8304752B2 (en) 2009-04-10 2012-11-06 Cymer, Inc. EUV light producing system and method utilizing an alignment laser
WO2010138930A2 (en) 2009-05-29 2010-12-02 Advanced Technology Materials, Inc. Tpir apparatus for monitoring tungsten hexafluoride processing to detect gas phase nucleation, and method and system utilizing same
EP2487480B1 (en) 2011-02-09 2014-01-15 ABB Technology AG Optical sensor element and optical sample analysing apparatus
US8531659B2 (en) 2011-03-24 2013-09-10 The Laser Sensing Company Multipass cell using spherical mirrors while achieving dense spot patterns
JP2013084918A (ja) 2011-09-27 2013-05-09 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及びプログラム
CN103594390B (zh) * 2012-08-15 2018-07-06 盛美半导体设备(上海)有限公司 终点检测装置及终点检测方法
WO2014109126A1 (ja) 2013-01-11 2014-07-17 富士電機株式会社 レーザ式ガス分析計
JP6430402B2 (ja) 2013-01-23 2018-11-28 カリフォルニア インスティチュート オブ テクノロジー 微量ガス検出用小型チューナブルレーザ分光計
JP2014199856A (ja) 2013-03-29 2014-10-23 東京エレクトロン株式会社 縦型熱処理装置の運転方法及び記憶媒体並びに縦型熱処理装置
US10153141B2 (en) * 2014-02-14 2018-12-11 Electronics And Telecommunications Research Institute Apparatus for monitoring gas and plasma process equipment including the same
US20160139038A1 (en) 2014-11-19 2016-05-19 Nxp B.V. Gas sensor
US20170084426A1 (en) 2015-09-23 2017-03-23 Lam Research Corporation Apparatus for determining process rate
US9735069B2 (en) 2015-09-23 2017-08-15 Lam Research Corporation Method and apparatus for determining process rate
JP6523119B2 (ja) 2015-09-28 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352902A (en) * 1992-07-06 1994-10-04 Tokyo Electron Kabushiki Kaisha Method for controlling plasma surface-treatments with a plurality of photodetectors and optical filters
JPH07263415A (ja) * 1994-03-18 1995-10-13 Fujitsu Ltd 半導体装置の製造方法
JPH09203707A (ja) * 1995-10-10 1997-08-05 L'air Liquide 室の流出物のモニターシステム、および吸着分光測定からなる半導体加工システム、およびその使用方法
JP2006278498A (ja) * 2005-03-28 2006-10-12 Tokyo Electron Ltd プラズマエッチング方法及びその装置
US20130193108A1 (en) * 2012-01-27 2013-08-01 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate

Also Published As

Publication number Publication date
US20170338160A1 (en) 2017-11-23
CN107026079A (zh) 2017-08-08
US11056322B2 (en) 2021-07-06
KR102586826B1 (ko) 2023-10-06
CN107026079B (zh) 2020-09-04
US20170084503A1 (en) 2017-03-23
TW201721736A (zh) 2017-06-16
US9735069B2 (en) 2017-08-15

Similar Documents

Publication Publication Date Title
KR102586826B1 (ko) 프로세스 레이트 결정을 위한 방법 및 장치
KR102595434B1 (ko) 프로세스 레이트를 결정하기 위한 장치
KR102422230B1 (ko) 엔드포인트 검출을 위한 에칭 계측 민감도
CN109671624B (zh) 用于确定工艺参数的方法和装置
KR101633937B1 (ko) Dc 및 rf 하이브리드 처리 시스템
KR101116589B1 (ko) 에칭 깊이 제어용 장치 및 방법
US8900470B2 (en) Differential measurements for endpoint signal enhancement
CN111771271A (zh) 监测室漂移的方法
KR102554542B1 (ko) 가스 배기 부산물 측정 시스템
WO2020106297A1 (en) Method for determining cleaning endpoint
US10930478B2 (en) Apparatus with optical cavity for determining process rate
KR20240016345A (ko) 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant