KR20160090768A - 공간적으로 분리된 원자 층 증착 챔버를 위한 개선된 인젝터 - Google Patents

공간적으로 분리된 원자 층 증착 챔버를 위한 개선된 인젝터 Download PDF

Info

Publication number
KR20160090768A
KR20160090768A KR1020160007725A KR20160007725A KR20160090768A KR 20160090768 A KR20160090768 A KR 20160090768A KR 1020160007725 A KR1020160007725 A KR 1020160007725A KR 20160007725 A KR20160007725 A KR 20160007725A KR 20160090768 A KR20160090768 A KR 20160090768A
Authority
KR
South Korea
Prior art keywords
gas
fluid communication
legs
junction
valve
Prior art date
Application number
KR1020160007725A
Other languages
English (en)
Other versions
KR102589174B1 (ko
Inventor
조셉 유도브스키
케빈 그리핀
아론 밀러
제프 토빈
에란 뉴맨
타츠야 이. 사토
패트리시아 엠. 리우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160090768A publication Critical patent/KR20160090768A/ko
Application granted granted Critical
Publication of KR102589174B1 publication Critical patent/KR102589174B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

공간적인 원자 층 증착을 위한 장치 및 방법들이 개시된다. 장치는, 밸브와 유체 소통하는 복수의 레그들을 통해 유동하는 제 1 가스, 및 복수의 레그들을 통해 밸브들 내로 유동하는 제 2 가스를 포함하는 가스 전달 시스템을 포함한다.

Description

공간적으로 분리된 원자 층 증착 챔버를 위한 개선된 인젝터{IMPROVED INJECTOR FOR SPATIALLY SEPARATED ATOMIC LAYER DEPOSITION CHAMBER}
[0001] 본 개시의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치에 관한 것이다. 더 상세하게는, 본 개시의 실시예들은, 프로세싱 챔버 내에서 가스 유동을 제어하기 위한 장치 및 방법들에 관한 것이다.
[0002] 반도체 디바이스 형성은 통상적으로, 클러스터 툴들이라고 또한 지칭될 수 있는, 다수의 챔버들을 포함하는 기판 프로세싱 시스템들 또는 플랫폼들에서 실시된다. 몇몇 경우들에서, 다중-챔버 프로세싱 플랫폼 또는 클러스터 툴의 목적은, 제어되는 환경에서 순차적으로 기판에 대해 2개 또는 그 초과의 프로세스들을 수행하는 것이다. 그러나, 다른 경우들에서, 다중 챔버 프로세싱 플랫폼은 기판들에 대해 단일 프로세싱 단계만을 수행할 수 있다. 부가적인 챔버들은, 기판들이 프로세싱되는 레이트를 최대화하기 위해 채용될 수 있다. 후자의 경우에서, 기판들에 대해 수행되는 프로세스는 전형적으로, 배치(batch) 프로세스이고, 그러한 배치 프로세스에서, 예컨대 25개 또는 50개와 같은 비교적 많은 수의 기판들이, 주어진 챔버에서, 동시에 프로세싱된다. 배치 프로세싱은, 경제적으로 실용적인 방식으로 개별적인 기판들에 대해 수행되기에 너무 시간-소모적인 프로세스들에 대해, 예컨대, 원자 층 증착(ALD) 프로세스들 및 몇몇 화학 기상 증착(CVD) 프로세스들에 대해, 특히 유익하다.
[0003] 공간적인(spatial) ALD의 개념은, 상이한 가스 상 반응성 화학물질들의 분명한(clear) 분리에 기초한다. 화학물질들의 혼합은 가스 상 반응들을 피하기 위해 방지된다. 공간적인 ALD 챔버의 일반적인 설계는, 가스 인젝터(injector)와 서셉터(또는 웨이퍼 표면) 사이에 작은 갭(gap)을 포함할 수 있다. 이러한 갭은 약 0.5 mm 내지 약 2.5 mm의 범위에 있을 수 있다. 진공 펌핑 채널들은 각각의 화학물질 샤워헤드(chemical showerhead) 주위에 위치된다. 비활성 가스 퍼지 채널들은, 가스 상 혼합을 최소화하기 위해, 화학물질 샤워헤드들 사이에 있다. 현재의 인젝터 설계들이 반응성 종의 가스 상 혼합을 방지할 수 있기는 하지만, 인젝터들은, 전구체 노출이 발생하는 곳, 그리고 전구체 노출이 발생하는 때에 대한 충분한 제어를 제공하지 않는다. 본 기술분야에서, 프로세싱 챔버 내로의 가스들의 유동을 제어하기 위한 장치 및 방법들에 대한 계속되는 필요성이 존재한다.
[0004] 본 개시의 하나 또는 그 초과의 실시예들은, 제 1 접합부(junction)와 유체 소통하는 제 1 유입구 라인을 포함하는 가스 전달 시스템들에 관한 것이다. 적어도 2개의 제 1 레그(leg)들이 제 1 접합부에 연결되고, 제 1 접합부와 유체 소통한다. 적어도 2개의 제 1 레그들 각각은 적어도 하나의 밸브와 유체 소통한다. 제 2 유입구 라인이 각각의 밸브와 유체 소통한다. 배출구 레그가 각각의 밸브와 유체 소통하고, 배출구 단부에서 끝난다(ending). 각각의 밸브는 제 1 레그들로부터 배출구 레그로의 유체의 유동을 제어한다. 제 1 접합부로부터 배출구 단부들 각각까지의 거리는 실질적으로 동일하다.
[0005] 몇몇 실시예들은, 제 1 접합부와 유체 소통하는 제 1 유입구 라인을 포함하는 가스 전달 시스템에 관한 것이다. 2개의 제 1 레그들이 제 1 접합부에 연결되고, 제 1 접합부와 유체 소통한다. 적어도 2개의 제 1 레그들 각각은 제 2 접합부와 유체 소통한다. 2개의 제 2 레그들이 밸브 및 제 2 접합부들 각각과 유체 소통한다. 제 2 유입구 라인이 밸브들 각각과 유체 소통한다. 배출구 레그가 밸브들 각각과 유체 소통하고, 배출구 단부를 갖는다. 각각의 밸브는 제 1 레그들로부터 배출구 레그로의 유체의 유동을 제어한다. 제 2 접합부를 통하는 제 1 접합부로부터 배출구 단부들 각각까지의 거리는 실질적으로 동일하다.
[0006] 본 개시의 하나 또는 그 초과의 실시예들은, 가스 분배 어셈블리를 포함하는 프로세싱 챔버들에 관한 것이다. 가스 분배 어셈블리는, 적어도 하나의 제 1 반응성 가스 포트 및 적어도 하나의 제 2 반응성 가스 포트를 포함하는 복수의 세장형(elongate) 가스 포트들을 포함한다. 제 1 반응성 가스 포트들 각각은 제 2 반응성 가스 포트들 각각과 분리된다. 제 1 가스 전달 시스템은 제 1 반응성 가스 포트들과 제 2 반응성 가스 포트들 중 하나와 유체 소통한다. 제 1 가스 전달 시스템은 제 1 접합부와 유체 소통하는 제 1 유입구 라인을 포함한다. 적어도 2개의 제 1 레그들이 제 1 접합부에 연결되고, 제 1 접합부와 유체 소통한다. 적어도 2개의 제 1 레그들 각각은 적어도 하나의 밸브와 유체 소통한다. 제 2 유입구 라인이 각각의 밸브와 유체 소통한다. 배출구 레그가, 복수의 제 1 반응성 가스 포트 또는 제 2 반응성 가스 포트들 중 하나, 및 각각의 밸브와 유체 소통한다. 각각의 밸브는 제 1 레그들로부터 배출구 레그로의 유체의 유동을 제어한다. 제 1 접합부로부터 배출구 단부들 각각까지의 거리는 실질적으로 동일하다.
[0007] 본 개시의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 개시의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시의 단지 전형적인 실시예들을 도시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시가 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0008] 도 1은, 본 개시의 하나 또는 그 초과의 실시예에 따른 공간적인 원자 층 증착 챔버의 측단면도이다.
[0009] 도 2는, 본 개시의 하나 또는 그 초과의 실시예들에 따른, 로딩 스테이션과 4개의 가스 분배 어셈블리 유닛들로 구성된 기판 프로세싱 시스템의 개략적인 평면도이다.
[0010] 도 3은, 본 개시의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0011] 도 4는, 본 개시의 하나 또는 그 초과의 실시예들에 따른, 서셉터 어셈블리 및 가스 분배 어셈블리 유닛들의 투시도를 도시한다.
[0012] 도 5는, 본 개시의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버의 단면도를 도시한다.
[0013] 도 6은, 본 개시의 하나 또는 그 초과의 실시예들에 따른 파이-형상 가스 분배 어셈블리의 개략도를 도시한다.
[0014] 도 7은, 본 개시의 하나 또는 그 초과의 실시예에 따른 가스 분배 어셈블리의 개략도를 도시한다.
[0015] 도 8은, 본 개시의 하나 또는 그 초과의 실시예에 따른 가스 전달 시스템의 개략도를 도시한다.
[0016] 도 9는, 본 개시의 하나 또는 그 초과의 실시예에 따른 가스 전달 시스템의 개략도를 도시한다.
[0017] 도 10은, 본 개시의 하나 또는 그 초과의 실시예에 따른 가스 전달 시스템의 개략도를 도시한다.
[0018] 도 11은, 본 개시의 하나 또는 그 초과의 실시예에 따른 2개의 가스 전달 시스템들의 개략도를 도시한다.
[0019] 본 개시의 실시예들은, 처리량을 최대화하고, 프로세싱 효율 및 균일성을 개선하기 위해, 연속적인 기판 증착을 위한 기판 프로세싱 시스템을 제공한다. 기판 프로세싱 시스템은 또한, 증착-전 및 증착-후 기판 처리들에 대해 사용될 수 있다. 본 개시의 실시예들은, 배치 프로세서에서 증착 균일성을 증가시키기 위한 장치 및 방법들에 관련된다.
[0020] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판" 및 "웨이퍼"라는 용어는 교환가능하게 사용되고, 이들 양자 모두는, 프로세스가 작용하는, 표면, 또는 표면의 일부를 지칭한다. 기판에 대한 언급이 또한, 문맥 상 명확히 다르게 표시되지 않는 한, 기판의 일부만을 언급할 수 있다는 것을 당업자는 이해할 것이다. 예컨대, 도 1에 대하여 설명되는 공간적으로 분리된 ALD에서, 각각의 전구체가 기판에 전달되지만, 임의의 개별적인 전구체 스트림이, 임의의 주어진 시간에서, 기판의 일부에만 전달된다. 부가적으로, 기판 상의 증착에 대한 언급은, 하나 또는 그 초과의 막들 또는 피처(feature)들이 위에 증착 또는 형성된 기판, 및 베어(bare) 기판 양자 모두를 의미할 수 있다.
[0021] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "프로세스 가스", "전구체", "반응물" 등과 같은 용어들은, 원자 층 증착 프로세스에서 반응적인 종을 포함하는 가스를 의미하기 위해, 교환가능하게 사용된다. 예컨대, 제 1 "반응성 가스"는 단순히, 기판의 표면 상에 흡착될 수 있고, 제 2 반응성 가스와의 추가적인 화학 반응을 위해 이용가능할 수 있다.
[0022] 본 개시의 실시예들은, 전구체 노출이 발생하는 곳 그리고 전구체 노출이 발생하는 때의 정밀한 제어를 허용하는, 공간적인 원자 층 증착(ALD) 챔버들을 위한 개선된 인젝터 설계들에 대한 방법들 및 장치에 관한 것이다. 몇몇 실시예들의 부가된 제어는, 막 프로파일 매칭(matching) 및 웨이퍼 대 웨이퍼 매칭을 포함하지만 이에 제한되지는 않는 수개의 제조가능성(manufacturability) 요건들을 개선하는 것을 도울 수 있다. 현재의 인젝터 설계들은 충분한 제어를 제공하지 않을 수 있고, 결과로서, 막 프로파일 매칭 및 웨이퍼 대 웨이퍼 매칭에 대하여 몇몇 제한들을 나타낼 수 있다.
[0023] 도 1은, 본 개시의 하나 또는 그 초과의 실시예들에 따른 프로세싱 챔버(100)의 일부의 개략적인 단면도이다. 프로세싱 챔버(100)는 일반적으로, 진공 또는 적어도 저압 조건들 하에서 동작되는 밀봉가능한 인클로저(sealable enclosure)이다. 시스템은, 기판(60)의 상단 표면(61)에 걸쳐 하나 또는 그 초과의 가스들을 분배할 수 있는 가스 분배 어셈블리(30)를 포함한다. 가스 분배 어셈블리(30)는 당업자에게 알려져 있는 임의의 적합한 어셈블리일 수 있고, 설명되는 특정 가스 분배 어셈블리들은, 본 개시의 범위를 제한하는 것으로서 취해지지 않아야 한다. 가스 분배 어셈블리(30)의 출력 면은 기판(60)의 상단 표면(61)을 향한다.
[0024] 본 개시의 실시예들에 대해 사용하기 위한 기판들은 임의의 적합한 기판일 수 있다. 몇몇 실시예들에서, 기판은, 강성(rigid)이고 불연속적(discrete)이며 대체로 평탄한 기판이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 기판에 대해 언급하는 경우에, "불연속적인"이라는 용어는, 기판이 고정된 치수를 갖는 것을 의미한다. 하나 또는 그 초과의 실시예들의 기판은, 200 mm 또는 300 mm 직경의 실리콘 기판과 같은 반도체 기판이다. 몇몇 실시예들에서, 기판은, 실리콘, 실리콘 게르마늄, 갈륨 비소, 질화 갈륨, 게르마늄, 인화 갈륨, 인화 인듐, 사파이어, 및 실리콘 탄화물 중 하나 또는 그 초과이다.
[0025] 가스 분배 어셈블리(30)는, 기판(60)에 하나 또는 그 초과의 가스 스트림들을 전달하기 위한 복수의 가스 포트들, 및 프로세싱 챔버(100) 밖으로 가스 스트림들을 전달하기 위해 각각의 가스 포트 사이에 배치된 복수의 진공 포트들을 포함한다. 도 1의 실시예에서, 가스 분배 어셈블리(30)는 제 1 전구체 인젝터(120), 제 2 전구체 인젝터(130), 및 퍼지 가스 인젝터(140)를 포함한다. 인젝터들(120, 130, 140)은, 메인프레임과 같은 시스템 컴퓨터(미도시)에 의해, 또는 프로그래머블 로직 제어기와 같은 챔버-특정 제어기에 의해 제어될 수 있다. 전구체 인젝터(120)는, 복수의 가스 포트들(125)을 통해, 프로세싱 챔버(100) 내로, 화합물 A의 반응성 전구체의 연속적인(또는 펄스) 스트림을 주입(inject)한다. 전구체 인젝터(130)는, 복수의 가스 포트들(135)을 통해, 프로세싱 챔버(100) 내로, 화합물 B의 반응성 전구체의 연속적인(또는 펄스) 스트림을 주입한다. 퍼지 가스 인젝터(140)는, 복수의 가스 포트들(145)을 통해, 프로세싱 챔버(100) 내로, 비-반응성 또는 퍼지 가스의 연속적인(또는 펄스) 스트림을 주입한다. 퍼지 가스는 프로세싱 챔버(100)로부터 반응성 재료 및 반응성 부산물들을 제거한다. 퍼지 가스는 전형적으로, 질소, 아르곤, 및 헬륨과 같은 비활성 가스이다. 가스 포트들(145)은, 화합물 B의 전구체로부터 화합물 A의 전구체를 분리시켜서, 전구체들 사이의 교차-오염을 피하기 위해, 가스 포트들(125)과 가스 포트들(135) 사이에 배치된다.
[0026] 다른 양상에서, 프로세싱 챔버(100) 내로 전구체들을 주입하기 전에, 원격 플라즈마 소스(미도시)가 전구체 인젝터(120) 및 전구체 인젝터(130)에 연결될 수 있다. 반응성 종의 플라즈마는, 원격 플라즈마 소스 내에서 화합물에 전기장을 인가함으로써 생성될 수 있다. 의도된 화합물들을 활성화시킬 수 있는 임의의 전력 소스가 사용될 수 있다. 예컨대, DC, 무선 주파수(RF), 및 마이크로파(MW) 기반 방전 기법들을 사용하는 전력 소스들이 사용될 수 있다. RF 전력 소스가 사용되는 경우에, 전력 소스는 용량성으로 또는 유도성으로 커플링될 수 있다. 활성화는 또한, 열 기반 기법, 가스 브레이크다운(gas breakdown) 기법, 고 에너지 광 소스(예컨대, UV 에너지), 또는 x-레이 소스에 대한 노출에 의해 생성될 수 있다. 예시적인 원격 플라즈마 소스들은, MKS Instruments, Inc. 및 Advanced Energy Industries, Inc.와 같은 벤더(vendor)들로부터 입수가능하다.
[0027] 시스템은 프로세싱 챔버에 연결된 펌핑 시스템일 수 있다. 펌핑 시스템은 일반적으로, 하나 또는 그 초과의 진공 포트들을 통해 프로세싱 챔버 밖으로 가스 스트림들을 진공배기(evacuate)시키도록 구성된다. 진공 포트들은, 가스 스트림들이 기판 표면과 반응한 후에, 프로세싱 챔버 밖으로 가스 스트림들을 진공배기시키고, 전구체들 사이의 교차-오염을 추가로 제한하기 위해, 각각의 가스 포트 사이에 배치된다.
[0028] 시스템은, 각각의 포트 사이에서 프로세싱 챔버(100) 상에 배치된 복수의 파티션(partition)들(160)을 포함한다. 각각의 파티션의 하부 부분은, 기판(60)의 제 1 표면(61) 가까이로 연장되고, 예컨대, 제 1 표면(61)으로부터 약 0.5 mm 또는 그 초과로 연장된다. 이러한 방식으로, 파티션들(160)의 하부 부분들은, 가스 스트림들이 기판 표면과 반응한 후에, 가스 스트림들이 진공 포트들(155)을 향하여 하부 부분들 주위에서 유동하게 허용하기에 충분한 거리 만큼, 기판 표면으로부터 분리된다. 화살표들(198)은 가스 스트림들의 방향을 표시한다. 파티션들(160)이 가스 스트림들에 대한 물리적인 배리어로서 동작하기 때문에, 파티션들(160)은 또한, 전구체들 사이의 교차-오염을 제한한다. 도시된 배열은 단지 예시적인 것일 뿐이고, 본 개시의 범위를 제한하는 것으로서 취해지지 않아야 한다. 도시된 가스 분배 시스템이 단지 하나의 가능한 분배 시스템일 뿐이고, 다른 타입들의 샤워헤드들 및 가스 분배 어셈블리들이 채용될 수 있다는 것을 당업자는 이해할 것이다.
[0029] 이러한 종류의 (즉, 다수의 가스들이 동시에 기판을 향하여 별개로 유동되는) 원자 층 증착 시스템들은 공간적인 ALD라고 지칭된다. 동작에서, 기판(60)은, 프로세싱 챔버(100)에 (예컨대, 로봇에 의해) 전달되고, 프로세싱 챔버 내로의 진입 전에 또는 그 후에, 셔틀(65) 상에 배치될 수 있다. 셔틀(65)은, 가스 분배 어셈블리(30) 아래를(또는 위를) 통과하면서, 프로세싱 챔버(100)를 통해, 트랙(70) 또는 어떤 다른 적합한 이동 메커니즘을 따라 이동된다. 도 1에서 도시된 실시예에서, 셔틀(65)은 챔버를 통해 선형 경로로 이동된다. 몇몇 실시예들에서, 웨이퍼들은 캐러셀(carousel) 프로세싱 시스템을 통해 원형 경로로 이동된다.
[0030] 도 1을 다시 참조하면, 기판(60)이 프로세싱 챔버(100)를 통해 이동함에 따라, 기판(60)의 제 1 표면(61)은, 가스 포트들(125)로부터 유래하는 반응성 가스 A, 및 가스 포트들(135)로부터 유래하는 반응성 가스 B, 및 그 사이의 가스 포트들(145)로부터 유래하는 퍼지 가스에 반복적으로 노출된다. 퍼지 가스의 주입은, 다음의 전구체에 기판 표면(61)을 노출시키기 전에, 이전의 전구체로부터의 반응되지 않은 재료를 제거하도록 설계된다. 다양한 가스 스트림들(예컨대, 반응성 가스들 또는 퍼지 가스)에 대한 각각의 노출 후에, 가스 스트림들은, 펌핑 시스템에 의해 진공 포트들(155)을 통해 진공배기된다. 진공 포트가 각각의 가스 포트의 양 측들 상에 배치될 수 있기 때문에, 가스 스트림들은 양 측들 상에서 진공 포트들(155)을 통해 진공배기된다. 따라서, 가스 스트림들은, 각각의 가스 포트들로부터, 기판(60)의 제 1 표면(61)을 향하여 수직으로 하방으로 유동하고, 기판 표면(61)에 걸쳐 그리고 파티션들(160)의 하부 부분들 주위에서 유동하고, 마지막으로, 진공 포트들(155)을 향하여 상방으로 유동한다. 이러한 방식으로, 각각의 가스는 기판 표면(61)에 걸쳐 균일하게 분배될 수 있다. 화살표들(198)은 가스 유동의 방향을 표시한다. 기판(60)은 또한, 다양한 가스 스트림들에 노출되면서, 회전될 수 있다. 기판의 회전은 형성된 층들에서의 스트립들의 형성을 방지하는데 유용할 수 있다. 기판의 회전은, 연속적일 수 있거나 또는 불연속적인 단계들로 이루어질 수 있고, 기판이 가스 분배 어셈블리(30) 아래를 통과하고 있는 동안에, 또는 기판이 가스 분배 어셈블리(30) 전의 및/또는 후의 구역에 있는 경우에 발생할 수 있다.
[0031] 마지막 가스 포트에 대한 완전한 노출을 보장하기 위해, 가스 분배 어셈블리(30) 후에, 충분한 공간이 일반적으로 제공된다. 기판(60)이 가스 분배 어셈블리(30) 아래를 완전히 통과하였다면, 제 1 표면(61)은 프로세싱 챔버(100)에서의 모든 각각의 가스 포트에 완전히 노출된 것이다. 그 후에, 기판은 반대 방향으로 다시 운반되거나, 또는 앞으로 운반된다. 기판(60)이 반대 방향으로 이동하는 경우에, 기판 표면은, 제 1 노출과 역순으로, 반응성 가스 A, 퍼지 가스, 및 반응성 가스 B에 다시 노출될 수 있다.
[0032] 기판 표면(61)이 각각의 가스에 노출되는 정도는, 예컨대, 가스 포트로부터 유래하는 각각의 가스의 유량들, 및 기판(60)의 이동의 레이트에 의해 결정될 수 있다. 일 실시예에서, 각각의 가스의 유량들은, 기판 표면(61)으로부터, 흡착된 전구체들을 제거하지 않도록 제어된다. 각각의 파티션 사이의 폭, 프로세싱 챔버(100) 상에 배치된 가스 포트들의 수, 및 기판이 가스 분배 어셈블리를 횡단하여 통과되는 횟수가 또한, 기판 표면(61)이 다양한 가스들에 노출되는 정도를 결정할 수 있다. 결과적으로, 증착된 막의 양 및 품질은 위에서-참조된 인자들을 변화시킴으로써 최적화될 수 있다.
[0033] 프로세스의 설명이, 가스 분배 어셈블리 아래에 위치된 기판을 향하여 하방으로 가스의 유동을 지향시키는 가스 분배 어셈블리(30)에 대해 이루어졌지만, 이러한 배향(orientation)은 상이하게 될 수 있다. 몇몇 실시예들에서, 가스 분배 어셈블리(30)는 기판 표면을 향하여 상방으로 가스의 유동을 지향시킨다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "횡단하여 통과된"이라는 용어는, 기판의 전체 표면이 가스 분배 플레이트로부터의 각각의 가스 스트림에 노출되도록, 기판이 가스 분배 어셈블리의 하나의 측으로부터 다른 측으로 이동된 것을 의미한다. 부가적인 설명의 부재 시에, "횡단하여 통과된"이라는 용어는, 가스 분배 어셈블리들, 가스 유동들, 또는 기판 위치들의 임의의 특정한 배향을 암시하지 않는다.
[0034] 몇몇 실시예들에서, 셔틀(65)은, 기판에 걸쳐 균일한 온도를 형성하는 것을 돕는 캐리어이다. 서셉터는, (도 1의 배열에 관하여, 좌측에서 우측으로의 그리고 우측에서 좌측으로의) 양 방향들로 이동가능하거나, 또는 (도 2에 관하여) 원형 방향으로 이동가능하다. 서셉터는 기판(60)을 운반하기 위한 상단 표면을 갖는다. 서셉터는, 기판(60)이 프로세싱을 위해 가열될 수 있도록, 가열형 서셉터일 수 있다. 예로서, 서셉터(66)는, 서셉터 아래에 배치된, 복사 열 램프들(90), 가열 플레이트, 저항성 코일들, 또는 다른 가열 디바이스들에 의해 가열될 수 있다.
[0035] 도 1은, 개별적인 가스 포트들이 도시된, 프로세싱 챔버의 단면도를 도시한다. 이러한 실시예는, 개별적인 가스 포트들의 폭이 가스 분배 플레이트의 전체 폭에 걸쳐 실질적으로 동일한 선형 프로세싱 시스템, 또는 개별적인 가스 포트들이, 파이 형상과 일치하기 위해 폭을 변화시키는 파이-형상 세그먼트일 수 있다. 도 3은, 파이-형상 가스 분배 어셈블리(220)의 일부를 도시한다.
[0036] 다수의 가스 인젝터들을 갖는 프로세싱 챔버들은, 웨이퍼들이 동일한 프로세스 플로우를 겪도록, 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 이는 종종, 배치 프로세싱, 또는 배치 프로세싱 챔버라고 지칭된다. 예컨대, 도 2에서 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 분배 어셈블리들(30) 및 4개의 기판들(60)을 갖는다. 프로세싱의 초기에, 기판들(60)은 가스 분배 어셈블리들(30) 사이에 위치될 수 있다. 45°만큼 캐러셀의 서셉터(66)를 회전시키는 것은, 각각의 기판(60)이, 막 증착을 위해 인젝터 어셈블리(30)로 이동되게 할 것이다. 이는 도 2에서 도시된 위치이다. 부가적인 45° 회전은 기판들(60)을 가스 분배 어셈블리들(30)로부터 벗어나게 이동시킬 것이다. 공간적인 ALD 인젝터들의 경우에, 인젝터 어셈블리에 관한 웨이퍼의 이동 동안에, 웨이퍼 상에 막이 증착된다. 몇몇 실시예들에서, 서셉터(66)는, 기판들(60)이 가스 분배 어셈블리들(30) 아래에서 정지하지 않도록 회전된다. 기판들(60) 및 가스 분배 어셈블리들(30)의 수는 동일할 수 있거나 또는 상이할 수 있다. 몇몇 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는 가스 분배 어셈블리들의 수와 동일하다. 하나 또는 그 초과의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는, 가스 분배 어셈블리들의 수의 정수 배수이다. 예컨대, 4개의 가스 분배 어셈블리들이 존재하는 경우에, 프로세싱되고 있는 4x개의 웨이퍼들이 존재하고, 여기에서, x는 1과 동등한 또는 그 초과의 정수 값이다.
[0037] 도 2에서 도시된 프로세싱 챔버(100)는 단지, 하나의 가능한 구성을 표현하는 것일 뿐이고, 본 개시의 범위를 제한하는 것으로 취해지지 않아야 한다. 여기에서, 프로세싱 챔버(100)는 복수의 가스 분배 어셈블리들(30)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 주위에 균등하게 이격된 4개의 가스 분배 어셈블리들(30)이 존재한다. 도시된 프로세싱 챔버(100)는 팔각형이지만, 이는 하나의 가능한 형상이고, 본 개시의 범위를 제한하는 것으로 취해지지 않아야 한다는 것을 당업자는 이해할 것이다. 도시된 가스 분배 어셈블리들(30)은 직사각형이지만, 가스 분배 어셈블리들이 파이-형상 세그먼트들일 수 있다는 것을 당업자는 이해할 것이다. 부가적으로, 각각의 세그먼트는, 동일한 세그먼트로부터 다수의 상이한 반응성 가스들이 유동하면서, 공간적인 타입 배열로 가스들을 전달하도록 구성될 수 있거나, 또는 단일 반응성 가스, 또는 반응성 가스들의 혼합물을 전달하도록 구성될 수 있다.
[0038] 프로세싱 챔버(100)는, 둥근 서셉터(66) 또는 서셉터 어셈블리로서 도시된 기판 지지 장치를 포함한다. 기판 지지 장치 또는 서셉터(66)는, 가스 분배 어셈블리들(30) 각각 아래에서 복수의 기판들(60)을 이동시킬 수 있다. 로드 락(82)은, 기판들(60)이 챔버(100) 내로 로딩되게 또는 챔버(100)로부터 언로딩되게 허용하기 위해, 프로세싱 챔버(100)의 측면에 연결될 수 있다.
[0039] 프로세싱 챔버(100)는, 복수의 가스 분배 어셈블리들(30) 각각 또는 복수의 가스 분배 어셈블리들(30) 중 임의의 것 사이에 위치된, 복수의 제 1 처리 스테이션들(80), 또는 제 1 처리 스테이션들(80)의 세트를 포함할 수 있다. 몇몇 실시예들에서, 제 1 처리 스테이션들(80) 각각은 기판(60)에 동일한 처리를 제공한다.
[0040] 처리 스테이션들의 수, 및 상이한 타입들의 처리 스테이션들의 수는, 프로세스에 따라 변화될 수 있다. 예컨대, 가스 분배 어셈블리들(30) 사이에 위치된, 1개, 2개, 3개, 4개, 5개, 6개, 7개, 또는 그 초과의 처리 스테이션들이 존재할 수 있다. 각각의 처리 스테이션은 독립적으로, 처리 스테이션의 하나 걸러의 세트마다 상이한 처리를 제공할 수 있거나, 또는 동일한 타입 및 상이한 타입들의 처리들의 혼합이 존재할 수 있다. 몇몇 실시예들에서, 개별적인 처리 스테이션들 중 하나 또는 그 초과는, 다른 개별적인 처리 스테이션들 중 하나 또는 그 초과와 상이한 처리를 제공한다. 도 2에서 도시된 실시예는 4개의 가스 분배 어셈블리들을 나타내고, 그러한 4개의 가스 분배 어셈블리들 사이의 공간들은 몇몇 타입의 처리 스테이션을 포함할 수 있다. 그러나, 당업자는, 이 도면으로부터, 프로세싱 챔버가 쉽게, 예컨대, 가스 커튼(curtain)들이 사이에 있는 8개의 가스 분배 어셈블리들을 가질 수 있는 것을 쉽게 상상할 수 있다.
[0041] 처리 스테이션들은, 기판, 기판 상의 막, 또는 서셉터 어셈블리에 임의의 적합한 타입의 처리를 제공할 수 있다. 예컨대, 이는, UV 램프들, 플래시 램프들, 플라즈마 소스들, 및 가열기들이다. 그 후에, 웨이퍼들은, 가스 분배 어셈블리들(30)에 대한 위치들과, 예컨대 웨이퍼에 플라즈마를 전달하는 샤워헤드에 대한 위치 사이에서 이동된다. 플라즈마 스테이션은 처리 스테이션(80)이라고 지칭된다. 하나 또는 그 초과의 예에서, 실리콘 질화물 막들은, 각각의 증착 층 후에, 플라즈마 처리로 형성될 수 있다. 이론적으로, ALD 반응은, 표면이 포화되는 한, 자기-제어적(self-limiting)이므로, 증착 가스에 대한 부가적인 노출은 막을 손상시키지 않을 것이다.
[0042] 캐러셀의 회전은 연속적일 수 있거나 또는 불연속적일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은, 이들이 인젝터들 각각에 차례로 노출되도록, 계속 회전한다. 불연속적인 프로세싱에서, 웨이퍼들은, 인젝터 구역으로 이동되고 정지될 수 있고, 그 후에, 인젝터들 사이의 구역(84)으로 이동되고 정지될 수 있다. 예컨대, 캐러셀은, 웨이퍼들이 인젝터-간(inter-injector) 구역으로부터 인젝터를 횡단하고(또는, 인젝터 근처에서 정지하고), 기판이 다시 멈출 수 있는 다음 인젝터-간 구역으로 이동하도록, 회전할 수 있다. 인젝터들 사이에서 멈추는 것은, 각각의 층 증착 사이의 부가적인 프로세싱 단계들(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0043] 몇몇 실시예들에서, 프로세싱 챔버는 복수의 가스 커튼들(40)을 포함한다. 각각의 가스 커튼(40)은, 가스 분배 어셈블리들(30)로부터의 프로세싱 가스들의 이동이 가스 분배 어셈블리 구역들로부터 이동하는 것, 및 처리 스테이션들(80)로부터의 가스들이 처리 스테이션 구역들로부터 이동하는 것을 방지하거나 또는 최소화하기 위한 배리어를 생성한다. 가스 커튼(40)은, 개별적인 프로세싱 섹션들을 인접한 섹션들로부터 격리시킬 수 있는, 가스 및 진공 스트림들의 임의의 적합한 조합을 포함할 수 있다. 몇몇 실시예들에서, 가스 커튼(40)은 퍼지(또는 비활성) 가스 스트림이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은 프로세싱 챔버로부터 가스들을 제거하는 진공 스트림이다. 몇몇 실시예들에서, 가스 커튼(40)은, 순서대로, 퍼지 가스 스트림, 진공 스트림, 및 퍼지 가스 스트림이 존재하도록 하는, 퍼지 가스 및 진공 스트림들의 조합이다. 하나 또는 그 초과의 실시예들에서, 가스 커튼(40)은, 순서대로, 진공 스트림, 퍼지 가스 스트림, 및 진공 스트림이 존재하도록 하는, 진공 스트림들 및 퍼지 가스 스트림들의 조합이다. 도 2에서 도시된 가스 커튼들(40)은 처리 스테이션들(80)과 가스 분배 어셈블리들(30) 각각 사이에 위치되지만, 커튼들은, 프로세싱 경로를 따르는 임의의 지점 또는 지점들에 위치될 수 있다.
[0044] 도 3은, 인젝터들이라고 또한 지칭되는 가스 분배 어셈블리(220), 및 서셉터 어셈블리(230)를 포함하는 프로세싱 챔버(200)의 실시예를 도시한다. 이러한 실시예에서, 서셉터 어셈블리(230)는 강성 바디(body)이다. 몇몇 실시예들의 강성 바디는 0.05 mm 이하의 드룹(droop) 허용오차를 갖는다. 액추에이터들(232)은, 예컨대, 서셉터 어셈블리(230)의 외측 직경 구역에서의 3개의 위치들에 배치될 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "외측 직경" 및 "내측 직경"이라는 용어들은, 외측 주변 에지 및 내측 에지 각각 근처의 구역들을 지칭한다. 외측 직경은 서셉터 어셈블리(230)의 말단 외측 에지에서의 특정 위치를 지칭하는 것이 아니고, 서셉터 어셈블리(230)의 외측 에지(231) 근처의 구역을 지칭한다. 이는, 도 3에서, 액추에이터들(232)의 배치로부터 볼 수 있다. 액추에이터들(232)의 수는, 1개로부터, 이용가능한 물리적인 공간 내에서 적합할 임의의 수까지 변화될 수 있다. 몇몇 실시예들은, 외측 직경 구역(231)에 위치된 액추에이터들(232)의 2개, 3개, 4개, 또는 5개의 세트들을 갖는다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "액추에이터"라는 용어는, 서셉터 어셈블리(230), 또는 서셉터 어셈블리(230)의 일부를 가스 분배 어셈블리(220)를 향하여, 또는 가스 분배 어셈블리(220)로부터 멀어지게 이동시킬 수 있는 임의의 단일 또는 다중-컴포넌트 메커니즘을 지칭한다. 예컨대, 액추에이터들(232)은, 서셉터 어셈블리(230)가 가스 분배 어셈블리(220)에 대해 실질적으로 평행한 것을 보장하기 위해 사용될 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로 평행한"이라는 용어는, 컴포넌트들의 평행성이 컴포넌트들 사이의 거리에 관하여 5 % 초과만큼 변화되지 않는 것을 의미한다.
[0045] 액추에이터들(232)로부터 서셉터 어셈블리(230)에 압력이 가해지면, 서셉터 어셈블리(230)는 레벨링될(levelled) 수 있다. 액추에이터들(232)에 의해 압력이 가해지면, 갭(210)의 거리는, 약 0.1 mm 내지 약 2.0 mm의 범위에 있도록, 또는 약 0.2 mm 내지 약 1.8 mm의 범위에 있도록, 또는 약 0.3 mm 내지 약 1.7 mm의 범위에 있도록, 또는 약 0.4 mm 내지 약 1.6 mm의 범위에 있도록, 또는 약 0.5 mm 내지 약 1.5 mm의 범위에 있도록, 또는 약 0.6 mm 내지 약 1.4 mm의 범위에 있도록, 또는 약 0.7 mm 내지 약 1.3 mm의 범위에 있도록, 또는 약 0.8 mm 내지 약 1.2 mm의 범위에 있도록, 또는 약 0.9 mm 내지 약 1.1 mm의 범위에 있도록, 또는 약 1 mm이도록, 설정될 수 있다.
[0046] 서셉터 어셈블리(230)는 가스 분배 어셈블리(220) 아래에 위치된다. 서셉터 어셈블리(230)는, 상단 표면(241), 및 선택적으로, 상단 표면(241)에서의 적어도 하나의 리세스(recess)(243)를 포함한다. 리세스(243)는, 프로세싱되고 있는 기판들(260)의 형상 및 크기에 따라, 임의의 적합한 형상 및 크기일 수 있다. 도시된 실시예에서, 리세스(243)는 리세스(243)의 외측 주변 에지 주위에 스텝(step) 구역을 갖는다. 스텝들은 기판(260)의 외측 주변 에지를 지지하도록 크기설정된다. 스텝들에 의해 지지되는, 기판(260)의 외측 주변 에지의 정도(amount)는, 예컨대, 웨이퍼의 배면 상에 이미 존재하는 피처들의 존재, 및 웨이퍼의 두께에 따라, 변화될 수 있다.
[0047] 몇몇 실시예들에서, 도 3에서 도시된 바와 같이, 서셉터 어셈블리(230)의 상단 표면(241)에서의 리세스(243)는, 리세스(243)에서 지지되는 기판(260)이 서셉터 어셈블리(230)의 상단 표면(241)과 실질적으로 동일 평면 상에 있는 상단 표면(261)을 갖도록, 크기설정된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는, 웨이퍼의 상단 표면과 서셉터 어셈블리의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있는 것을 의미한다. 몇몇 실시예들에서, 상단 표면들은, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0048] 도 3의 서셉터 어셈블리(230)는, 서셉터 어셈블리(230)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 포스트(240)를 포함한다. 서셉터 어셈블리(230)는, 지지 포스트(240)의 중심부 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 포스트(240)는, 서셉터 어셈블리(230)를 대략적인 위치로 이동시켜서, 서셉터 어셈블리(230)와 가스 분배 어셈블리(220) 사이의 갭을 증가시키거나 또는 감소시키는 주된 수단일 수 있다. 그 후에, 액추에이터들(232)은, 미리 결정된 갭을 생성하기 위해, 서셉터 어셈블리의 위치에 대한 마이크로-조정들을 행할 수 있다.
[0049] 도 3에서 도시된 프로세싱 챔버(200)는, 서셉터 어셈블리(230)가 복수의 기판들(260)을 홀딩(hold)할 수 있는 캐러셀-타입 챔버이다. 가스 분배 어셈블리(220)는 복수의 별개의 인젝터 유닛들(221)을 포함할 수 있고, 각각의 인젝터 유닛(221)은, 웨이퍼가 인젝터 유닛(221) 아래로 이동됨에 따라, 기판(260) 상에 막, 또는 막의 일부를 증착할 수 있다. 도 4는, 캐러셀-타입 프로세싱 챔버(200)의 투시도를 도시한다. 2개의 파이-형상 인젝터 유닛들(221)이, 서셉터 어셈블리(230) 위에 그리고 서셉터 어셈블리(230)의 대략적으로 대향하는 측들 상에 위치된 것으로 도시된다. 이러한 수의 인젝터 유닛들(221)은 단지 예시적인 목적들을 위해 도시된다. 더 많거나 또는 더 적은 인젝터 유닛들(221)이 포함될 수 있다는 것을 당업자는 이해할 것이다. 몇몇 실시예들에서, 서셉터 어셈블리(230)의 형상과 일치하는 형상을 형성하기에 충분한 수의 파이-형상 인젝터 유닛들(221)이 존재한다. 몇몇 실시예들에서, 개별적인 파이-형상 인젝터 유닛들(221) 각각은, 다른 인젝터 유닛들(221) 중 어느 것에도 영향을 미치지 않으면서, 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 로봇이 기판들(260)을 로딩/언로딩하기 위해 서셉터 어셈블리(230)와 가스 분배 어셈블리(220) 사이의 구역에 접근하게 허용하도록, 하나의 세그먼트가 상승될 수 있다.
[0050] 도 5는, 서셉터 어셈블리(230)가 강성 바디가 아닌, 본 개시의 다른 실시예를 도시한다. 몇몇 실시예들에서, 서셉터 어셈블리(230)는, 약 0.1 mm 이하, 또는 약 0.05 mm 이하, 또는 약 0.025 mm 이하, 또는 약 0.01 mm 이하의 드룹 허용오차를 갖는다. 도 5의 실시예에서, 액추에이터들(232)은, 서셉터 어셈블리(230)의 내측 직경 구역(239), 및 외측 직경 구역(231)에 배치된다. 액추에이터들(232)은, 서셉터 어셈블리(230)의 내측 및 외측 주변부 주위의 임의의 적합한 수의 지점들에 위치될 수 있다. 몇몇 실시예들에서, 액추에이터들(232)은, 외측 직경 구역(231) 및 내측 직경 구역(239) 양자 모두에서의 3개의 위치들에 배치된다. 외측 직경 구역(231) 및 내측 직경 구역(239) 양자 모두에서의 액추에이터들(232)은 서셉터 어셈블리(230)에 압력을 가한다.
[0051] 도 6은, 본 개시의 하나 또는 그 초과의 실시예에 따른 가스 분배 어셈블리(220)를 도시한다. 대체로 원형인 가스 분배 어셈블리(220)의 일부 또는 세그먼트의 전방 면(225)이 도시된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "대체로 원형"이라는 용어는, 컴포넌트의 전체 형상이 80° 미만의 임의의 내각들을 갖지 않는 것을 의미한다. 따라서, 대체로 원형은, 정사각형, 오각형, 육각형, 칠각형, 팔각형 등을 포함하는 임의의 형상을 가질 수 있다. 대체로 원형은, 형상을, 원 또는 완전한 다각형으로 제한하는 것으로서 취해지지 않아야 하고, 또한, 타원형 및 불완전한 다각형들을 포함할 수 있다.
[0052] 가스 분배 어셈블리(220)는, 전방 면(225)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 가스 포트들은, 가스 분배 어셈블리(220)의 내측 직경 구역(239)으로부터 외측 직경 구역(231)으로 연장된다. 복수의 가스 포트들은, 프로세싱 챔버에 제 1 반응성 가스를 전달하기 위한 제 1 반응성 가스 포트(125), 및 프로세싱 챔버에 퍼지 가스를 전달하기 위한 퍼지 가스 포트(145)를 포함한다. 도 7에서 도시된 실시예는 또한, 프로세싱 챔버에 제 2 반응성 가스를 전달하기 위한 제 2 반응성 가스 포트(135)를 포함한다.
[0053] 파이-형상 가스 포트들은, 가스 분배 어셈블리(220)의 내측 주변 에지(239) 근처에서 더 좁은 폭을 가질 수 있고, 가스 분배 어셈블리(220)의 외측 주변 에지(231) 근처에서 더 큰 폭을 가질 수 있다. 개별적인 포트들의 형상 또는 종횡비는, 가스 분배 어셈블리 세그먼트의 형상 또는 종횡비에 비례할 수 있거나, 또는 가스 분배 어셈블리 세그먼트의 형상 또는 종횡비와 상이할 수 있다. 몇몇 실시예들에서, 개별적인 포트들은, 경로(272)를 따라 가스 분배 어셈블리(220)를 횡단하여 통과하는 웨이퍼의 각각의 지점이, 각각의 가스 포트 아래에서 대략 동일한 체류 시간을 갖게 되도록, 형성된다. 기판들의 경로는 가스 포트들에 대해 수직적일 수 있다. 몇몇 실시예들에서, 가스 분배 어셈블리들 각각은, 기판에 의해 횡단되는 경로에 대해 실질적으로 수직적인 방향으로 연장되는 복수의 세장형 가스 포트들을 포함한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직적인"이라는 용어는, 이동의 대략적인 방향이, 가스 포트들의 축에 대해 대략적으로 수직적인 것을 의미한다. 파이-형상 가스 포트의 경우에, 가스 포트의 축은, 포트의 폭의 중간-지점이 포트의 길이를 따라 연장되는 것으로서 정의되는 라인인 것으로 고려될 수 있다. 아래에서 추가로 설명되는 바와 같이, 개별적인 파이-형상 세그먼트들 각각은, 단일 반응성 가스를 전달하거나, 또는 다수의 반응성 가스들을 공간적으로 분리시켜서 또는 조합하여(예컨대, 전형적인 CVD 프로세스에서와 같이) 전달하도록 구성될 수 있다.
[0054] 진공 포트(155)는, 제 1 반응성 가스 포트(125) 및 제 2 반응성 가스 포트(135)를 인접한 퍼지 가스 포트들(145)로부터 분리시킨다. 다르게 말하면, 진공 포트는, 제 1 반응성 가스 포트(125)와 퍼지 가스 포트(145) 사이에, 그리고 제 2 반응성 가스 포트(135)와 퍼지 가스 포트(145) 사이에 위치된다. 진공 포트들은 프로세싱 챔버로부터 가스들을 진공배기시킨다. 도 6에서 도시된 실시예에서, 진공 포트들(155)은, 제 1 반응성 가스 포트(125) 및 제 2 반응성 가스 포트(135) 각각의 내측 주변 에지(227) 및 외측 주변 에지(228) 상에 진공 포트(155)의 일부가 존재하도록, 반응성 가스 포트들의 모든 측들 주위에서 연장된다.
[0055] 도 6은, 인젝터 유닛(221)이라고 지칭될 수 있는, 가스 분배 어셈블리(220)의 섹터 또는 부분을 도시한다. 인젝터 유닛들(221)은, 개별적으로, 또는 다른 인젝터 유닛들과 조합하여 사용될 수 있다. 예컨대, 도 7에서 도시된 바와 같이, 4개의, 도 6의 인젝터 유닛들(221)이, 단일 가스 분배 어셈블리(220)를 형성하도록 조합된다(4개의 인젝터 유닛들을 분리시키는 라인들은 명료성을 위해 도시되지 않는다). 도 6의 인젝터 유닛(221)이, 진공 포트들(145) 및 퍼지 가스 포트들(155)에 부가하여, 제 1 반응성 가스 포트(125) 및 제 2 반응성 가스 포트(135) 양자 모두를 갖지만, 인젝터 유닛(221)은 이러한 컴포넌트들 전부를 요구하지 않는다.
[0056] 도 6 및 도 7 양자 모두를 참조하면, 하나 또는 그 초과의 실시예에 따른 가스 분배 어셈블리(220)는, 각각의 섹터가 동일하거나 또는 상이한 복수의 섹터들(또는 인젝터 유닛들(221))을 포함할 수 있다. 가스 분배 어셈블리(220)는, 프로세싱 챔버 내에 위치되고, 가스 분배 어셈블리(220)의 전방 표면(225)에 복수의 세장형(elongate) 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145)은, 가스 분배 어셈블리(220)의 내측 주변 에지(123)에 인접한 영역으로부터, 외측 주변 에지(228)에 인접한 영역을 향하여 연장된다. 도시된 복수의 가스 포트들은, 제 1 반응성 가스 포트(125), 제 2 반응성 가스 포트(135), 제 1 반응성 가스 포트들 및 제 2 반응성 가스 포트들 각각을 둘러싸는 퍼지 가스 포트(145), 및 진공 포트들(155)을 포함한다.
[0057] 도 6 또는 도 7에서 도시된 실시예들을 참조하면, 포트들이 적어도 대략 내측 주변 구역으로부터 적어도 대략 외측 주변 구역으로 연장된다고 말하는 경우에, 포트들은, 단지, 내측 구역으로부터 외측 구역으로 방사상으로 연장되는 것에 그치지 않고 연장될 수 있다. 포트들은, 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러싸는 바와 같이, 접선 방향으로(tangentially) 연장될 수 있다. 도 6 및 도 7에서 도시된 실시예에서, 웨지(wedge) 형상 반응성 가스 포트들(125, 135)은, 내측 주변 구역 및 외측 주변 구역 근처의 에지들을 포함하는 모든 에지들이, 진공 포트(145)에 의해 둘러싸인다.
[0058] 도 6을 참조하면, 기판이 아치형 경로(272)를 따라 이동함에 따라, 기판 표면의 각각의 부분은 다양한 반응성 가스들에 노출된다. 경로(272)를 따르기 위해, 기판은, 퍼지 가스 포트(155), 진공 포트(145), 제 1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제 2 반응성 가스 포트(135), 및 진공 포트(145)에 노출될 것이거나, 또는 그러한 포트들과 "마주칠(see)" 것이다. 따라서, 도 6에서 도시된 경로(272)의 끝에서, 기판은, 층을 형성하도록, 제 1 반응성 가스(125) 및 제 2 반응성 가스(135)에 노출되었다. 도시된 인젝터 유닛(221)은 사분원을 형성하지만, 더 클 수 있거나 또는 더 작을 수 있다. 도 7에서 도시된 가스 분배 어셈블리(220)는, 연속하여 연결된 도 6의 인젝터 유닛들(221) 4개의 조합으로서 고려될 수 있다.
[0059] 도 6의 인젝터 유닛(221)은, 반응성 가스들을 분리시키는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는, 반응성 가스들을 혼합으로부터 분리시키는, 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 6에서 도시된 가스 커튼(150)은, 제 1 반응성 가스 포트(125) 바로 옆의 진공 포트(145)의 일부, 중간의 퍼지 가스 포트(155), 및 제 2 반응성 가스 포트(135) 바로 옆의 진공 포트(145)의 일부를 포함한다. 가스 유동과 진공의 이러한 조합은, 제 1 반응성 가스와 제 2 반응성 가스의 가스 상 반응들을 방지하거나 또는 최소화하기 위해 사용될 수 있다.
[0060] 도 7을 참조하면, 가스 분배 어셈블리(220)로부터의 가스 유동들과 진공의 조합은, 복수의 프로세싱 구역들(250)을 형성한다. 프로세싱 구역들은 개별적인 반응성 가스 포트들(125, 135) 주위에서 대략적으로 정의되고, 250 사이에 가스 커튼(150)이 존재한다. 도 7에서 도시된 실시예는, 8개의 별개의 가스 커튼들(150)이 사이에 있는 8개의 별개의 프로세싱 구역들(250)을 구성한다.
[0061] 프로세싱 동안에, 기판은, 임의의 주어진 시간에서, 하나 초과의 프로세싱 구역(250)에 노출될 수 있다. 그러나, 상이한 프로세싱 구역들에 노출되는 부분들은, 이 둘을 분리시키는 가스 커튼을 가질 것이다. 예컨대, 기판의 선행 에지가, 제 2 반응성 가스 포트(135)를 포함하는 프로세싱 구역에 진입하는 경우에, 기판의 중간 부분은 가스 커튼(150) 하에 있을 것이고, 기판의 후행 에지는, 제 1 반응성 가스 포트(125)를 포함하는 프로세싱 구역에 있을 것이다.
[0062] 예컨대 로드 락 챔버일 수 있는 팩토리 인터페이스(280)가, 프로세싱 챔버(200)에 연결된 것으로 도시된다. 기판(260)은, 레퍼런스(reference)의 프레임을 제공하기 위해, 가스 분배 어셈블리(220)와 중첩된 것으로 도시된다. 요구되지는 않지만, 기판(260)은 종종, 가스 분배 어셈블리(220)의 전방 표면(225) 근처에서 홀딩되도록, 서셉터 어셈블리 상에 놓일 것이다. 기판(260)은, 팩토리 인터페이스(280)를 통해, 프로세싱 챔버(200) 내로, 그리고 기판 지지부 또는 서셉터 어셈블리 상으로 로딩된다. 기판(260)은 프로세싱 구역 내에 위치된 것으로 도시될 수 있고, 이는, 기판이, 제 1 반응성 가스 포트(125) 근처에 그리고 2개의 가스 커튼들(150a, 150b) 사이에 위치되기 때문이다. 경로(272)를 따라 기판(60)을 회전시키는 것은, 프로세싱 챔버(200) 주위에서 반시계 방향으로 기판을 이동시킬 것이다. 기판(260)은, 제 1 프로세싱 구역(250a)과 제 8 프로세싱 구역(250h) 사이의 모든 프로세싱 구역들을 포함하여, 제 1 프로세싱 구역(250a) 내지 제 8 프로세싱 구역(250h)에 노출될 것이다. 도시된 가스 분배 어셈블리를 사용하는 프로세싱 챔버 주위의 각각의 사이클에 대해, 기판(260)은 제 1 반응성 가스와 제 2 반응성 가스의 4개의 ALD 사이클들에 노출될 것이다.
[0063] 몇몇 증착 프로세스들은, 배치 내에서 서셉터 어셈블리에서의 다양한 포켓들(리세스들) 사이에 웨이퍼 내(WiW) 프로파일 미스매칭을 가질 수 있다. WiW 프로파일 미스매칭은 다양한 프로세스들의 구현에 어려움을 제공할 수 있다. 본 발명자들은, 웨이퍼 위치 조절(modulation)이 인젝터 위치와 WiW 프로파일 사이에서 상관되는 것을 발견하였다. 특정 프로세스 단계들 동안의 인젝터 및 웨이퍼 위치는 WiW 프로파일에 영향을 미칠 수 있다.
[0064] 주어진 전구체(반응성 가스)에 대한 모든 인젝터들을 피딩(feed)하는 밸브 매니폴드(manifold)들의 실시예들은, 질소만의 유동, 또는 질소 및 전구체의 유동을 가능하게 한다. 질소의 유동은, 전구체들이 존재하지 않는 경우에도, 프로세스 전반에 걸쳐, 적절한 공간적인 분리가 달성되는 것을 보장하는데 유용하다. 본 개시의 몇몇 실시예들은, 모든 인젝터들에 대한 주어진 전구체 상에 밸브를 포함하는 대신에, 주어진 전구체에 대한 모든 인젝터들 상에 밸브를 포함한다. 본 개시의 실시예들은, 기판들에 대한 전구체 노출의 더 정확하고 정밀한 제어를 제공한다.
[0065] 도 8 내지 도 10은, 본 개시의 하나 또는 그 초과의 실시예에 따른 가스 전달 시스템들(500)을 도시한다. 제 1 유입구 라인(510)은 제 1 접합부(520)와 유체 소통한다. 제 1 유입구 라인(510)은, 예컨대 전구체 앰풀(ampoule)과 같은 가스 소스에 연결될 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "유체 소통"이라는 용어는, 유체(예컨대, 전구체 함유 가스)가, 상당한 누설 없이, 밀폐된(enclosed) 시스템 내에서, 하나의 지정된 컴포넌트로부터 다른 지정된 컴포넌트로 유동할 수 있는 것을 의미한다. 몇몇 실시예들은, 제 1 접합부(520)의 상류에서, 제 1 유입구 라인(510)과 유체 소통하는 차단(cut-off) 밸브(512)를 포함한다. 차단 밸브(512)는, 임의의 가스가 제 1 접합부(520)를 향하여 유동하거나 또는 제 1 접합부(520)로부터 유동하는 것을 방지하기 위해 폐쇄될 수 있다.
[0066] 제 1 접합부(520) 및 다른 접합부들은, 가스 유동을 분할할 수 있는 임의의 적합한 컴포넌트일 수 있다. 예컨대, 이는, 와이(wye) 또는 프로포셔닝(proportioning) 밸브이다. 몇몇 실시예들에서, 제 1 접합부(520)는 와이 또는 t-형상 연결부이다. 몇몇 실시예들에서, 접합부들은 가스 유동을 실질적으로 동등한 양들로 분할한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동등한 양들"이라는 용어는, 접합부에서 떠나도록 각각의 레그를 통해 유동하는 가스의 양이 10 % 또는 5 % 또는 2 % 또는 1 % 내인 것을 의미한다. 예컨대, 도 8의 제 1 접합부는, 40:60 내지 60:40의 범위에서, 또는 45:55 내지 55:45의 범위에서, 또는 약 48:52 내지 52:48의 범위에서, 또는 49:51 내지 51:49의 범위에서, 유동을 분할한다.
[0067] 적어도 2개의 제 1 레그들(530)이, 제 1 접합부(520)에 연결되고, 제 1 접합부(520)와 유체 소통한다. 적어도 2개의 제 1 레그들(530) 각각은 적어도 하나의 밸브(540)와 유체 소통한다. 도 8 및 도 9에서 도시된 실시예들은 각각, 제 1 접합부(520)로부터 연장되는 2개의 제 1 레그들(530)을 갖는다. 도 10에서 도시된 실시예는, 제 1 접합부(520)로부터 연장되는 4개의 제 1 레그들(530)을 갖는다.
[0068] 도 9를 참조하면, 제 1 레그들(530) 각각은 독립적으로, 제 1 접합부(520)의 하류에 위치된 제 2 접합부(550)와 유체 소통한다. 적어도 2개의 제 2 레그들(560)이 제 2 접합부들(550) 각각으로부터 연장되어 밸브들(540)로 이어진다. 도 9의 실시예에서, 밸브(540) 및 제 2 접합부들(550) 각각과 유체 소통하는 2개의 제 2 레그들(560)이 존재한다. 몇몇 실시예들은, 제 2 접합부(550)로부터 연장되는 2개 초과의 제 2 레그들(560)을 갖는다. 예컨대, 4개의 제 2 레그들(560)이 제 2 접합부들(550) 각각으로부터 연장되고, 밸브(540)에 연결되는 경우에, 다른 컴포넌트들에 연결될 수 있는 총 8개의 밸브들(540)이 존재할 것이다.
[0069] 제 2 유입구 라인(570)은 각각의 밸브(540)와 유체 소통한다. 제 2 유입구 라인(570)은, 예컨대 질소 가스 라인과 같은 임의의 적합한 가스 소스에 연결될 수 있다. 도 8의 실시예에서, 제 2 유입구 라인(570)을 통해 유동하는 가스는, 제 1 레그들(530)로부터 유래하는 가스와 동일한 밸브(540) 내로 유동한다. 몇몇 실시예들에서, 제 2 유입구 라인(570)은, 밸브(540)의 상류에서, 적어도 하나의 차단 밸브(572)를 포함한다.
[0070] 배출구 레그(580)는 밸브들(540) 각각으로부터 연장되고, 밸브들(540) 각각과 유체 소통한다. 배출구 레그(580)는 배출구 단부(584)를 갖는다. 배출구 단부(584)는, 다른 컴포넌트(예컨대, 가스 분배 어셈블리)에 대한 배출구 레그(580)의 연결을 허용하는 피팅(fitting)(582)에 대한 베어 튜브로부터의 임의의 타입의 연결(즉, 특정 연결이 아님)을 포함할 수 있다.
[0071] 몇몇 실시예들에서, 제 1 접합부(520)로부터 배출구 단부들(584) 각각으로의 배관(tubing)의 길이는 실질적으로 동일하다. 도 10을 참조하면, 제 1 레그(530a), 밸브(540a), 및 배출구 레그(580a)의 조합의 길이(L1)는, 제 1 레그(530b), 밸브(540b), 및 배출구 레그(580b)에 대한 길이(L2)와 실질적으로 동일할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로 동일한"이라는 용어는, 제 1 접합부로부터 배출구 단부들 중 임의의 것으로의 길이가, 제 1 접합부로부터 모든 배출구 단부들로의 모든 길이들의 평균에 비하여, 5 %, 2 %, 1 %, 0.5 %, 또는 0.25 % 내에 있는 것을 의미한다. 제 1 접합부로부터 각각의 배출구 레그의 단부로의 배관의 길이에서 약간의 변동이 예상된다. 레그들이 실질적으로 동일한 경우에, 배출구 레그들 각각에서 나오는 가스 압력은, 임의의 차이가 결과적인 프로세스에 최소의 영향을 미치거나 또는 전혀 영향을 미치지 않는 점에서, 실질적으로 동일하다.
[0072] 밸브(540)는 2개의 입력 레그들 및 적어도 하나의 배출구 레그를 갖고, 적어도 제 1 레그(530)로부터 배출구 레그(580)로의 유체의 유동을 제어할 수 있다. 몇몇 실시예들에서, 밸브(540)는, 제 1 레그(530) 및 제 2 유입구 라인(570) 양자 모두로부터 배출구 레그(580)로의 가스들의 유동을 제어한다. 밸브(540)는, 전자식 및 공압식(pneumatic)을 포함하지만 이에 제한되지는 않는 임의의 적합한 방법에 의해 제어될 수 있다.
[0073] 하나 또는 그 초과의 실시예들에서, 밸브(540)는, 제 1 레그(530)를 통해 유동하는 가스에 대한 밸브로서만 작동한다. 제 2 유입구 라인(570)을 통해 유동하는 가스는, 영향 없이, 밸브(540)를 통과한다. 따라서, 밸브(540)는, 제 1 레그(530)로부터의 일부 유동이, 제 2 유입구 라인(570)으로부터 유동하는 가스의 스트림에 진입하게 허용하기 위한 계량(metering) 밸브로서 작동할 수 있다. 도 8의 시스템을 사용하는 하나 또는 그 초과의 실시예들에서, 배출구 레그(580)는 가스 분배 어셈블리의 제 1 반응성 가스 입력에 연결된다. 프로세싱 동안에, 퍼지 가스(예컨대, 질소)는 제 2 유입구 라인(570)을 통해 프로세싱 챔버 내로 일정한 레이트로 유동된다. 제 1 반응성 가스는 제 1 유입구 라인(510)을 통해 제 1 접합부(520)로 유동할 수 있다. 제 1 반응성 가스 유동은, 제 1 접합부에서, 2개의 제 1 레그들(530)로 분할된다. 밸브(540)는, 퍼지 가스의 유동과 합류하도록, 제 1 레그들(530)로부터 배출구 레그들(580) 내로의 제 1 반응성 가스의 유동을 허용하기 위해, 개방될 수 있다. 퍼지 가스는 반응성 가스에 대한 캐리어로서 작용한다. 프로세싱이 완료되는 경우에, 밸브(540)는, 제 1 반응성 가스가 밸브(540)를 통해 배출구 레그(580) 내로 유동하지 않도록, 턴 오프될(turned off) 수 있다. 동시에, 제 2 유입구 라인(570)으로부터 밸브(540)를 통해 유동하는 퍼지 가스는 영향을 받지 않고, 따라서, 가스는 가스 분배 어셈블리로 계속 유동한다.
[0074] 시스템(500)은 임의의 수의 가스 포트들에 대해 사용될 수 있고, 이는, 임의의 수의 배출구 단부들(584)이 존재할 수 있는 것을 의미한다. 몇몇 실시예들에서, 예컨대 가스 분배 어셈블리에 연결될 수 있는 4개의 배출구 단부들(584)이 존재한다. 도 11을 참조하면, 가스 분배 어셈블리(220)가, 제 1 가스 전달 시스템(500) 및 제 2 가스 전달 시스템(600)과 함께 도시된다. 제 1 가스 전달 시스템(500) 및 제 2 가스 전달 시스템(600) 양자 모두는 도 9의 가스 전달 시스템과 유사한 구성들을 갖는다. 제 1 가스 전달 시스템(500)은 제 1 반응성 가스 포트들(125) 각각(도 7 참조)에 제 1 반응성 가스를 전달하기 위해 사용될 수 있다. 제 2 가스 전달 시스템(600)은 제 2 반응성 가스 포트들(135) 각각(도 7 참조)에 제 2 반응성 가스를 전달하기 위해 사용될 수 있다. 따라서, 2개의 시스템들은, 조합하여, 도 7에서 도시된 가스 분배 어셈블리에 대해 요구되는 모든 반응성 가스들을 제공하는 것이 가능할 수 있다. 부가적인 반응성 가스들이 포함되는 경우에, 부가적인 시스템들이 부가될 수 있다. 예컨대, 가스 분배 어셈블리가 4개의 상이한 타입들의 반응성 가스들을 갖는 경우에, 4개의 가스 전달 시스템들이 존재할 수 있다.
[0075] 도 11에서 도시된 제 1 가스 전달 시스템(500)은 도 9의 모든 컴포넌트들을 포함한다. 제 2 가스 전달 시스템(600)은 제 1 가스 전달 시스템(500)과 유사하고, 제 1 가스 전달 시스템(500)에 대하여 설명된 컴포넌트들과 동일한 컴포넌트들 중 임의의 것을 가질 수 있다. 간략하게, 제 2 가스 전달 시스템(600)은 제 3 접합부(620)와 유체 소통하는 제 3 유입구 라인(610)을 포함한다. 적어도 2개의 제 3 레그들(630)이 제 3 접합부(620)에 연결되고, 제 3 접합부(620)와 유체 소통한다. 도 11의 실시예는 정확히 2개의 제 3 레그들(630)을 갖지만, 도 10에서와 같이, 더 많은 레그들이 사용될 수 있다. 제 3 레그들(630) 각각은 적어도 하나의 제 3 밸브(640)와 유체 소통한다. 제 4 유입구 라인(670)이 각각의 제 3 밸브(640)와 유체 소통한다. 배출구 레그(680)이 각각의 제 3 밸브(640)와 유체 소통하고, 배출구 단부(684)에서 끝난다. 몇몇 실시예들에서, 각각의 제 3 밸브(640)는 제 3 레그들(630)로부터 배출구 레그(680)로의 유체의 유동을 제어한다. 하나 또는 그 초과의 실시예들에서, 제 3 접합부(620)로부터 배출구 단부들(684) 각각까지의 거리는 실질적으로 동일하다.
[0076] 몇몇 실시예들에서, 도 10과 유사하게, 제 3 접합부(620)에 연결되고, 제 3 접합부(620)와 유체 소통하는 4개의 제 3 레그들(630)이 존재한다. 4개의 제 3 레그들(630) 각각은 적어도 하나의 제 3 밸브(640)와 유체 소통한다.
[0077] 도 11에서 도시된 실시예들에서, 제 3 레그들(630) 각각은 독립적으로, 밸브들(640)의 상류에 그리고 제 3 접합부(620)의 하류에 위치된 제 4 접합부(650)와 유체 소통한다. 적어도 2개의 제 4 레그들(660)이, 제 4 접합부들(650) 각각으로부터 연장되고, 제 4 접합부들(650) 각각과 유체 소통하며, 밸브들(640)로 이어진다.
[0078] 몇몇 실시예들에서, 하나 또는 그 초과의 층들은, 플라즈마 강화 원자 층 증착(PEALD) 프로세스 동안에 형성될 수 있다. 몇몇 프로세스들에서, 플라즈마의 사용은, 표면 반응들이 유리하게 되고 가능성이 있게 되는 여기된 상태로 종을 촉진하기에 충분한 에너지를 제공한다. 프로세스에 플라즈마를 도입하는 것은 연속적일 수 있거나 또는 펄싱될(pulsed) 수 있다. 몇몇 실시예들에서, 플라즈마 및 전구체들(또는 반응성 가스들)의 순차적인 펄스들이, 층을 프로세싱하기 위해 사용된다. 몇몇 실시예들에서, 시약(reagent)들은, 국부적으로(즉, 프로세싱 영역 내에서), 또는 원격으로(즉, 프로세싱 영역 외부에서) 이온화될 수 있다. 몇몇 실시예들에서, 원격 이온화는, 이온들 또는 다른 에너제틱(energetic) 또는 발광 종이, 증착되는 막과 직접적으로 접촉하지 않도록, 증착 챔버의 상류에서 발생할 수 있다. 몇몇 PEALD 프로세스들에서, 플라즈마는, 프로세싱 챔버 외부에서, 예컨대 원격 플라즈마 생성기 시스템에 의해 생성된다. 플라즈마는, 당업자에게 알려져 있는 임의의 적합한 플라즈마 생성 프로세스 또는 기법을 통해 생성될 수 있다. 예컨대, 플라즈마는, 마이크로파(MW) 주파수 생성기 또는 무선 주파수(RF) 생성기 중 하나 또는 그 초과에 의해 생성될 수 있다. 플라즈마의 주파수는, 사용되고 있는 특정 반응성 종에 따라 튜닝될(tuned) 수 있다. 적합한 주파수들은, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, 및 100 MHz를 포함하지만 이에 제한되지는 않는다. 본원에서 개시되는 증착 프로세스들 동안에 플라즈마들이 사용될 수 있지만, 플라즈마들이 포함되지 않을 수 있다. 실제로, 다른 실시예들은, 플라즈마를 이용하지 않는, 매우 온화한(mild) 조건들 하에서의 증착 프로세스들에 관한 것이다.
[0079] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 층을 형성하기 전에, 그리고/또는 층을 형성한 후에, 프로세싱을 받는다. 이러한 프로세싱은, 동일한 챔버에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 몇몇 실시예들에서, 기판은, 추가적인 프로세싱을 위해, 제 1 챔버로부터 별개의 제 2 챔버로 이동된다. 기판은, 제 1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제 1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동될 수 있고, 그 후에, 미리 결정된 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 소통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등이라고 지칭될 수 있다.
[0080] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기(degassing), 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은, 적어도 제 1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 락 챔버들과 프로세싱 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링할 수 있는 로봇을 하우징(house)할 수 있다. 이송 챔버는 전형적으로, 진공 조건에서 유지되고, 기판들을, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전방 단부에 위치된 로드 락 챔버로 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이고, 이들 양자 모두는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학 세정, RTP와 같은 열 처리, 플라즈마 질화(nitridation), 탈기, 배향, 히드록실화(hydroxylation), 및 다른 기판 프로세스들을 포함하지만 이에 제한되지는 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염이, 후속 막을 증착하기 전의 산화 없이, 피해질 수 있다.
[0081] 하나 또는 그 초과의 실시예들에 따르면, 기판은 지속적으로 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에, 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 몇몇 실시예들에서, 비활성 가스는, 기판의 표면 상에 층을 형성한 후에, 반응물들의 일부 또는 전부를 제거하기 위해, 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0082] 프로세싱 동안에, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부(예컨대, 서셉터)의 온도를 변화시키는 것, 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하지만 이에 제한되지는 않는 임의의 적합한 수단에 의해 달성될 수 있다. 몇몇 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되는 가스들(반응성 가스들 또는 비활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 몇몇 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 위치된다.
[0083] 기판은 또한, 프로세싱 동안에, 정지되어 있을 수 있거나 또는 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예컨대, 기판은 전체 프로세스 전반에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 소량만큼 회전될 수 있다. (연속적으로 또는 단계들로) 프로세싱 동안에 기판을 회전시키는 것은, 예컨대, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0084] 전술한 바가 본 개시의 실시예들에 관한 것이지만, 본 개시의 다른 그리고 추가적인 실시예들이, 본 개시의 기본적인 범위로부터 벗어나지 않고 고안될 수 있고, 본 개시의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 가스 전달 시스템으로서,
    제 1 접합부(junction)와 유체 소통하는 제 1 유입구 라인;
    상기 제 1 접합부에 연결되고, 상기 제 1 접합부와 유체 소통하는 적어도 2개의 제 1 레그(leg)들 ― 상기 적어도 2개의 제 1 레그들 각각은 적어도 하나의 밸브와 유체 소통함 ―;
    각각의 밸브와 유체 소통하는 제 2 유입구 라인; 및
    각각의 밸브와 유체 소통하고, 배출구 단부에서 끝나는(ending) 배출구 레그
    를 포함하며,
    각각의 밸브는 상기 제 1 레그들로부터 상기 배출구 레그로의 유체의 유동을 제어하고, 상기 제 1 접합부로부터 배출구 단부들 각각까지의 거리는 실질적으로 동일한,
    가스 전달 시스템.
  2. 제 1 항에 있어서,
    상기 제 1 접합부에 연결되고, 상기 제 1 접합부와 유체 소통하는 4개의 제 1 레그들이 존재하고, 상기 4개의 제 1 레그들 각각은 적어도 하나의 밸브와 유체 소통하는,
    가스 전달 시스템.
  3. 제 1 항에 있어서,
    상기 제 1 레그들 각각은 독립적으로, 상기 제 1 접합부의 하류에 위치된 제 2 접합부와 유체 소통하고, 적어도 2개의 제 2 레그들이 제 2 접합부들 각각으로부터 연장되어 밸브들로 이어지는,
    가스 전달 시스템.
  4. 제 1 항에 있어서,
    제 3 접합부와 유체 소통하는 제 3 유입구 라인;
    상기 제 3 접합부에 연결되고, 상기 제 3 접합부와 유체 소통하는 적어도 2개의 제 3 레그들 ― 상기 적어도 2개의 제 3 레그들 각각은 적어도 하나의 제 3 밸브와 유체 소통함 ―;
    각각의 제 3 밸브와 유체 소통하는 제 4 유입구 라인; 및
    각각의 제 3 밸브와 유체 소통하고, 배출구 단부에서 끝나는 배출구 레그
    를 더 포함하며,
    각각의 제 3 밸브는 상기 제 3 레그들로부터 상기 배출구 레그로의 유체의 유동을 제어하고, 상기 제 3 접합부로부터 상기 배출구 단부들 각각까지의 거리는 실질적으로 동일한,
    가스 전달 시스템.
  5. 제 4 항에 있어서,
    상기 제 3 접합부에 연결되고, 상기 제 3 접합부와 유체 소통하는 4개의 제 3 레그들이 존재하고, 상기 4개의 제 3 레그들 각각은 적어도 하나의 제 3 밸브와 유체 소통하는,
    가스 전달 시스템.
  6. 제 5 항에 있어서,
    상기 제 3 레그들 각각은 독립적으로, 상기 제 3 접합부의 하류에 위치된 제 4 접합부와 유체 소통하고, 적어도 2개의 제 4 레그들이 제 4 접합부들 각각으로부터 연장되어 밸브들로 이어지는,
    가스 전달 시스템.
  7. 가스 전달 시스템으로서,
    제 1 접합부와 유체 소통하는 제 1 유입구 라인;
    상기 제 1 접합부에 연결되고, 상기 제 1 접합부와 유체 소통하는 2개의 제 1 레그들 ― 적어도 2개의 제 1 레그들 각각은 제 2 접합부와 유체 소통함 ―;
    밸브 및 제 2 접합부들 각각과 유체 소통하는 2개의 제 2 레그들;
    밸브들 각각과 유체 소통하는 제 2 유입구 라인; 및
    상기 밸브들 각각과 유체 소통하고, 배출구 단부를 갖는 배출구 레그
    를 포함하며,
    각각의 밸브는 상기 제 1 레그들로부터 상기 배출구 레그로의 유체의 유동을 제어하고, 상기 제 2 접합부를 통하는 상기 제 1 접합부로부터 배출구 단부들 각각까지의 거리는 실질적으로 동일한,
    가스 전달 시스템.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    밸브들은 상기 배출구 레그로의 상기 제 2 유입구 라인에서의 유체의 유동을 제어하는,
    가스 전달 시스템.
  9. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    밸브들은 상기 배출구 레그로의 상기 제 2 유입구 라인에서의 유체 유동을 제어하지 않는,
    가스 전달 시스템.
  10. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 배출구 단부들 각각은 피팅(fitting)을 포함하는,
    가스 전달 시스템.
  11. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 2 유입구 라인은, 상기 밸브의 상류에서, 적어도 하나의 차단(cut-off) 밸브를 갖는,
    가스 전달 시스템.
  12. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    밸브들은 공압식(pneumatic) 밸브들인,
    가스 전달 시스템.
  13. 프로세싱 챔버로서,
    상기 프로세싱 챔버 내의 가스 분배 어셈블리 ― 상기 가스 분배 어셈블리는, 적어도 하나의 제 1 반응성 가스 포트 및 적어도 하나의 제 2 반응성 가스 포트를 포함하는 복수의 세장형(elongate) 가스 포트들을 포함하고, 제 1 반응성 가스 포트들 각각은 제 2 반응성 가스 포트들 각각과 분리됨 ―; 및
    상기 제 1 반응성 가스 포트들과 상기 제 2 반응성 가스 포트들 중 하나의 포트들과 유체 소통하는 제 1 가스 전달 시스템
    을 포함하며,
    상기 제 1 가스 전달 시스템은,
    제 1 접합부와 유체 소통하는 제 1 유입구 라인;
    상기 제 1 접합부에 연결되고, 상기 제 1 접합부와 유체 소통하는 적어도 2개의 제 1 레그들 ― 상기 적어도 2개의 제 1 레그들 각각은 적어도 하나의 밸브와 유체 소통함 ―;
    각각의 밸브와 유체 소통하는 제 2 유입구 라인; 및
    복수의 상기 제 1 반응성 가스 포트들 또는 상기 제 2 반응성 가스 포트들 중 하나, 및 각각의 밸브와 유체 소통하는 배출구 레그
    를 포함하고,
    각각의 밸브는 상기 제 1 레그들로부터 상기 배출구 레그로의 유체의 유동을 제어하고, 상기 제 1 접합부로부터 배출구 단부들 각각까지의 거리는 실질적으로 동일한,
    프로세싱 챔버.
  14. 제 13 항에 있어서,
    밸브들은 상기 배출구 레그로의 상기 제 2 유입구 라인에서의 유체 유동을 제어하지 않는,
    프로세싱 챔버.
  15. 제 13 항 또는 제 14 항에 있어서,
    상기 제 1 반응성 가스 포트들과 상기 제 2 반응성 가스 포트들 중, 상기 제 1 가스 전달 시스템이 유체 소통하는 포트들과 상이한 포트들과 유체 소통하는 제 2 가스 전달 시스템을 더 포함하며,
    상기 제 2 가스 전달 시스템은,
    제 3 접합부와 유체 소통하는 제 3 유입구 라인;
    상기 제 3 접합부에 연결되고, 상기 제 3 접합부와 유체 소통하는 적어도 2개의 제 3 레그들 ― 상기 적어도 2개의 제 3 레그들 각각은 적어도 하나의 제 3 밸브와 유체 소통함 ―;
    각각의 제 3 밸브와 유체 소통하는 제 4 유입구 라인; 및
    각각의 제 3 밸브와 유체 소통하고, 배출구 단부에서 끝나는 배출구 레그
    를 포함하고,
    각각의 제 3 밸브는 상기 제 3 레그들로부터 상기 배출구 레그로의 유체의 유동을 제어하고, 상기 제 3 접합부로부터 상기 배출구 단부들 각각까지의 거리는 실질적으로 동일한,
    프로세싱 챔버.
KR1020160007725A 2015-01-22 2016-01-21 공간적으로 분리된 원자 층 증착 챔버를 위한 개선된 인젝터 KR102589174B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562106407P 2015-01-22 2015-01-22
US62/106,407 2015-01-22

Publications (2)

Publication Number Publication Date
KR20160090768A true KR20160090768A (ko) 2016-08-01
KR102589174B1 KR102589174B1 (ko) 2023-10-12

Family

ID=56417675

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160007725A KR102589174B1 (ko) 2015-01-22 2016-01-21 공간적으로 분리된 원자 층 증착 챔버를 위한 개선된 인젝터

Country Status (6)

Country Link
US (1) US20160215392A1 (ko)
JP (1) JP2016139795A (ko)
KR (1) KR102589174B1 (ko)
CN (2) CN107208266A (ko)
TW (1) TW201634738A (ko)
WO (1) WO2016118574A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102267923B1 (ko) 2014-08-26 2021-06-22 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR101885525B1 (ko) * 2016-08-26 2018-08-14 주식회사 넥서스비 원자층 증착 장비 및 그를 이용한 원자층 증착 방법
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10167558B1 (en) 2017-10-13 2019-01-01 International Business Machines Corporation Phase shifted gas delivery for high throughput and cost effectiveness associated with atomic layer etching and atomic layer deposition
TWI802439B (zh) * 2017-10-27 2023-05-11 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
US20200017969A1 (en) * 2018-07-10 2020-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device, Method, and Tool of Manufacture
JP6987821B2 (ja) * 2019-09-26 2022-01-05 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0786189A (ja) * 1993-09-17 1995-03-31 Tokyo Electron Ltd バッチ式処理装置及びそのクリーニング方法
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
KR20070015958A (ko) * 2004-05-12 2007-02-06 어플라이드 머티어리얼스, 인코포레이티드 하프늄-함유 높은-k 유전 물질의 원자 층 증착을 위한장치 및 방법
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
KR20090025153A (ko) * 2007-09-05 2009-03-10 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
JP2012169409A (ja) * 2011-02-14 2012-09-06 Toshiba Corp 半導体製造装置および半導体装置の製造方法
KR20140023244A (ko) * 2012-08-17 2014-02-26 노벨러스 시스템즈, 인코포레이티드 가스 분배 네트워크에서의 유동 밸런싱

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2670900A (en) * 1951-03-16 1954-03-02 Robertshaw Fulton Controls Co Constant flow thermostatic control valve
US2908285A (en) * 1956-09-20 1959-10-13 Gen Electric Flow control device
US3237860A (en) * 1964-05-21 1966-03-01 Robertson Co H H Dual duct air conditioning system with seasonal changeover means
US3391705A (en) * 1965-07-01 1968-07-09 Halvin Products Co Inc Valve
US3368752A (en) * 1966-02-28 1968-02-13 Robertson Co H H Dual duct air conditioning with seasonal changeover means
US3390638A (en) * 1966-08-08 1968-07-02 Power Engineering Inc Variable proportioning metering pump
FR2071450A6 (ko) * 1969-05-27 1971-09-17 Nicolas Jean Pierre
NL7006059A (ko) * 1970-04-25 1971-10-27
US3658081A (en) * 1970-04-27 1972-04-25 Air Liquide Automatic change over switching device
DK140079B (da) * 1977-08-30 1979-06-11 Innoventa Aps Trykregulator til regulering af trykket i mindst en gas i afhængighed af trykket i en anden gas.
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
JP3124376B2 (ja) * 1992-06-17 2001-01-15 株式会社東芝 化合物半導体の気相成長装置
JP3405466B2 (ja) * 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
EP0619450A1 (en) * 1993-04-09 1994-10-12 The Boc Group, Inc. Zero Dead-Leg Gas Cabinet
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3726168B2 (ja) * 1996-05-10 2005-12-14 忠弘 大見 流体制御装置
US5868159A (en) * 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US7036528B2 (en) * 1998-05-18 2006-05-02 Swagelok Company Modular surface mount manifold assemblies
US7150994B2 (en) * 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
KR100427563B1 (ko) * 1999-04-16 2004-04-27 가부시키가이샤 후지킨 병렬분류형 유체공급장치와, 이것에 사용하는 유체가변형압력식 유량제어방법 및 유체가변형 압력식 유량제어장치
US6581623B1 (en) * 1999-07-16 2003-06-24 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
WO2001066245A2 (en) * 2000-03-07 2001-09-13 Symyx Technologies, Inc. Parallel flow process optimization reactor
DE10045958B4 (de) * 2000-09-16 2008-12-04 Muegge Electronic Gmbh Vorrichtung zum Leiten eines gasförmigen Mediums in eine und/oder aus einer Prozeßkammer
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4250375B2 (ja) * 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
WO2003062490A2 (en) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
US20030136176A1 (en) * 2002-01-23 2003-07-24 Frank Ruiz Gas pressure/flow control and recovery system
JP4099092B2 (ja) * 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
JP3856730B2 (ja) * 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
JP4092164B2 (ja) * 2002-09-20 2008-05-28 シーケーディ株式会社 ガス供給ユニット
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6818094B2 (en) * 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
WO2004109420A1 (ja) * 2003-06-09 2004-12-16 Ckd Corporation 相対的圧力制御システム及び相対的流量制御システム
US7178556B2 (en) * 2003-08-07 2007-02-20 Parker-Hannifin Corporation Modular component connector substrate assembly system
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006009969A (ja) * 2004-06-25 2006-01-12 Kitz Sct:Kk 集積化ガス制御装置用流路ブロックとその製造方法並びに集積化ガス制御装置
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
JP4718141B2 (ja) * 2004-08-06 2011-07-06 東京エレクトロン株式会社 薄膜形成方法及び薄膜形成装置
KR100699861B1 (ko) * 2005-01-19 2007-03-27 삼성전자주식회사 4-웨이밸브를 포함하는 반도체소자 제조장치, 반도체소자제조장치의 밸브 제어방법 및 이를 이용한 반도체소자의제조방법
US20060156980A1 (en) * 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
JP4856905B2 (ja) * 2005-06-27 2012-01-18 国立大学法人東北大学 流量レンジ可変型流量制御装置
JP4742762B2 (ja) * 2005-09-12 2011-08-10 株式会社フジキン 流体制御装置
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US7716993B2 (en) * 2006-03-07 2010-05-18 Ckd Corporation Gas flow rate verification unit
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
JP2009224504A (ja) * 2008-03-14 2009-10-01 Hitachi Kokusai Electric Inc 基板処理装置
JP5608157B2 (ja) * 2008-03-21 2014-10-15 アプライド マテリアルズ インコーポレイテッド 基板エッチングシステム及びプロセスの方法及び装置
US20090255798A1 (en) * 2008-04-12 2009-10-15 Gaku Furuta Method to prevent parasitic plasma generation in gas feedthru of large size pecvd chamber
US8336736B2 (en) * 2008-05-15 2012-12-25 Schroeder Industries, Inc. Flow control and manifold assembly
KR101627297B1 (ko) * 2008-10-13 2016-06-03 한국에이에스엠지니텍 주식회사 플라즈마 처리부 및 이를 포함하는 증착 장치 및 증착 방법
US20100116206A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Gas delivery system having reduced pressure variation
WO2011085064A2 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. N-channel flow ratio controller calibration
WO2011088024A1 (en) * 2010-01-12 2011-07-21 Sundew Technologies, Llc Methods and apparatus for atomic layer deposition on large area substrates
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8616238B2 (en) * 2010-07-19 2013-12-31 B. Braun Melsungen Ag Flow selector
JP5573666B2 (ja) * 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120244685A1 (en) * 2011-03-24 2012-09-27 Nuflare Technology, Inc. Manufacturing Apparatus and Method for Semiconductor Device
WO2012145606A2 (en) * 2011-04-20 2012-10-26 Swagelok Company Fluid processing systems and sub-systems
US10293107B2 (en) * 2011-06-22 2019-05-21 Crisi Medical Systems, Inc. Selectively Controlling fluid flow through a fluid pathway
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR20140050681A (ko) * 2011-07-22 2014-04-29 어플라이드 머티어리얼스, 인코포레이티드 Ald/cvd 프로세스들을 위한 반응물 전달 시스템
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US9188989B1 (en) * 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
US9958302B2 (en) * 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US8985152B2 (en) * 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
DE102013100717B3 (de) * 2013-01-24 2014-06-26 Kendrion (Villingen) Gmbh Elektromagnetisches Fluidventil

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JPH0786189A (ja) * 1993-09-17 1995-03-31 Tokyo Electron Ltd バッチ式処理装置及びそのクリーニング方法
KR20070015958A (ko) * 2004-05-12 2007-02-06 어플라이드 머티어리얼스, 인코포레이티드 하프늄-함유 높은-k 유전 물질의 원자 층 증착을 위한장치 및 방법
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
KR20090025153A (ko) * 2007-09-05 2009-03-10 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
JP2012169409A (ja) * 2011-02-14 2012-09-06 Toshiba Corp 半導体製造装置および半導体装置の製造方法
KR20140023244A (ko) * 2012-08-17 2014-02-26 노벨러스 시스템즈, 인코포레이티드 가스 분배 네트워크에서의 유동 밸런싱

Also Published As

Publication number Publication date
CN117604502A (zh) 2024-02-27
KR102589174B1 (ko) 2023-10-12
CN107208266A (zh) 2017-09-26
TW201634738A (zh) 2016-10-01
JP2016139795A (ja) 2016-08-04
WO2016118574A1 (en) 2016-07-28
US20160215392A1 (en) 2016-07-28

Similar Documents

Publication Publication Date Title
KR102589174B1 (ko) 공간적으로 분리된 원자 층 증착 챔버를 위한 개선된 인젝터
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
KR102271731B1 (ko) 배치 프로세싱을 위한 경사진 플레이트 및 사용 방법들
KR102486400B1 (ko) 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
KR102563831B1 (ko) 캐러셀 증착 챔버를 위한 상단 램프 모듈
US20130210238A1 (en) Multi-Injector Spatial ALD Carousel and Methods of Use
KR102412517B1 (ko) 이중층 ald를 사용한 정확한 임계 치수 제어
US20160024653A1 (en) Plasma Source For Rotating Platen ALD Chambers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant