KR20160078210A - 게이트를 가진 반도체 디바이스 구조를 형성하기 위한 방법 - Google Patents

게이트를 가진 반도체 디바이스 구조를 형성하기 위한 방법 Download PDF

Info

Publication number
KR20160078210A
KR20160078210A KR1020150070411A KR20150070411A KR20160078210A KR 20160078210 A KR20160078210 A KR 20160078210A KR 1020150070411 A KR1020150070411 A KR 1020150070411A KR 20150070411 A KR20150070411 A KR 20150070411A KR 20160078210 A KR20160078210 A KR 20160078210A
Authority
KR
South Korea
Prior art keywords
layer
gate
forming
trench
gate material
Prior art date
Application number
KR1020150070411A
Other languages
English (en)
Other versions
KR101756524B1 (ko
Inventor
차이웨이 창
포치 우
웬한 팡
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160078210A publication Critical patent/KR20160078210A/ko
Application granted granted Critical
Publication of KR101756524B1 publication Critical patent/KR101756524B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66053Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide
    • H01L29/66068Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7825Lateral DMOS transistors, i.e. LDMOS transistors with trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)

Abstract

반도체 디바이스 구조를 형성하기 위한 방법이 제공된다. 이 방법은 기판 위에 유전체 층을 형성하는 단계를 포함한다. 기판은 핀 구조를 가지며, 유전체 층은 핀 구조의 일부를 노출시키는 트렌치를 가진다. 이 방법은, 게이트 재료층 위에 평탄화 층을 형성하는 단계를 포함한다. 평탄화 층은 제1 재료를 포함하며, 이 제1 재료는 게이트 재료층의 제2 재료 및 유전체 층의 제3 재료와는 상이하다. 이 방법은 트렌치 내의 게이트를 형성하기 위하여 상기 평탄화 층 및 상기 게이트 재료의 제1 상부 부분을 제거하도록 에칭 프로세스를 수행하는 단계를 포함한다.

Description

게이트를 가진 반도체 디바이스 구조를 형성하기 위한 방법{METHOD FOR FORMING SEMICONDUCTOR DEVICE STRUCTURE WITH GATE}
관련 출원들의 교차 참조
이 명세서는 2014년 12월 24일자로 출원된 미국 가출원 제62/096,753호의 우선권을 주장하며, 이것의 전체는 여기에 참고로 통합된다.
본 발명은 게이트를 가진 반도체 디바이스 구조를 형성하기 위한 방법에 관한 것이다.
반도체 IC(integrated circuit) 산업은 급속한 성장을 경험하였다. IC 재료 및 설계에 있어서의 기술적 진보는 IC의 세대를 생성하였다. 각 세대는 이전의 세대보다 더 작고 더 복잡한 회로를 가진다. 그러나, 이러한 진보는 IC를 처리 및 제조하는데 있어서의 복잡성을 증가시켰다.
IC 발전 동안에, 기능적인 밀도(즉, 칩 영역 당 서로 접속된 디바이스들의 수)는 일반적으로 증가되는 반면에 기하학적 크기(즉, 제조 프로세스를 이용하여 생성될 수 있는 최소 구성요소(또는 라인))가 감소되었다. 이러한 스케일링 다운 프로세스는 일반적으로 제조 효율을 증가시키고 연관된 비용을 낮춤으로써 이익을 제공한다.
그러나, 특징부 크기가 계속해서 감소하기 때문에, 제조 프로세스는 계속해서 수행하기가 더욱 어려워지고 있다. 따라서, 점점 더 작은 크기로 신뢰성있는 반도체 디바이스를 형성하는 것이 과제가 된다.
일부 실시형태들에 따르면, 반도체 디바이스 구조들을 형성하기 위한 방법들이 제공된다. (반도체 디바이스 구조를 형성하기 위한) 방법들은, 트리밍 프로세스를 수행하기 이전에 게이트 재료층 위에 평탄화 층을 형성한다. 평탄화 층은 게이트 재료층의 리세스들을 충전시키고, 실질적으로 평탄한 상부 표면을 가진다. 그 후, 실질적으로 평탄한 상부 표면을 가진 게이트를 형성하기 위하여 평탄화 층과 게이트 재료층 상에 트리밍 프로세스를 수행한다. 그 결과, 핀 구조들 위의 게이트의 두께의 균일성이 개선되고, 이는 반도체 디바이스 구조들의 수율을 향상시킨다.
일부 실시형태들에 따르면, 반도체 디바이스 구조를 형성하기 위한 방법이 제공된다. 이 방법은 기판 위에 유전체 층을 형성하는 단계를 포함한다. 기판은 핀 구조를 가지며, 유전체 층은 핀 구조의 일부를 노출시키는 트렌치를 가진다. 이 방법은 트렌치 내에 게이트 재료층을 형성하는 단계를 포함한다. 이 방법은 게이트 재료층 위에 평탄화 층을 형성하는 단계를 포함한다. 평탄화 층은 제1 재료를 포함하며, 상기 제1 재료는 게이트 재료층의 제2 재료 및 유전체 층의 제3 재료와는 상이하다. 이 방법은 트렌치 내에 게이트를 형성하기 위하여 평탄화 층 및 상기 게이트 재료층의 제1 상부 부분을 제거하도록 에칭 프로세스를 수행하는 단계를 포함한다.
일부 실시형태들에 따르면, 반도체 디바이스 구조를 형성하기 위한 방법이 제공된다. 이 방법은 기판 위에 유전체 층을 형성하는 단계를 포함한다. 기판은 핀 구조를 가지며, 상기 유전체 층은 핀 구조의 일부를 노출시키는 트렌치를 가진다. 이 방법은 트렌치 내에 게이트 재료층을 형성하는 단계를 포함한다. 이 방법은 게이트 재료층 위에 평탄화 층을 형성하기 위하여 플라즈마 증착 프로세스를 수행하는 단계를 포함한다. 이 방법은 트렌치 내에 게이트를 형성하기 위하여 평탄화 층 및 게이트 재료층의 제1 상부 부분을 제거하도록 에칭 프로세스를 수행하는 단계를 포함한다.
일부 실시형태들에 따르면, 반도체 디바이스 구조를 형성하기 위한 방법이 제공된다. 이 방법은 기판 위에 유전체 층을 형성하는 단계를 포함한다. 기판은 핀 구조를 가지며, 유전체 층은 핀 구조의 일부를 노출시키는 트렌치를 가진다. 이 방법은 트렌치 내에 게이트 재료층을 형성하는 단계를 포함한다. 이 방법은 게이트 재료층 위에 평탄화 층을 형성하는 단계를 포함한다. 이 방법은 상기 평탄화 층과 상기 게이트 재료층의 제1 상부 부분을 제거하기 위하여 이방성 에칭 프로세스를 수행하는 단계를 포함한다. 상기 트렌치 내에 남아 있는 게이트 재료층은 게이트를 형성한다. 게이트의 제1 상부 표면은 이방성 에칭 프로세스 이전의 게이트 재료층의 제2 상부 표면보다 더 평탄하다.
본 개시의 양태들은 첨부된 도면들을 참조하여 판독할 때 이하의 상세한 설명으로부터 가장 잘 이해된다. 산업 표준 관행에 따라, 다양한 특징부들이 축척비율대로 그려지는 것은 아니라는 점에 유의해야 한다. 사실상, 다양한 특징부들의 크기는 논의의 명료성을 위해 임의로 증가되거나 축소될 수 있다.
도 1a는 일부 실시형태들에 따른 반도체 디바이스 구조의 사시도이다.
도 1b는 일부 실시형태들에 따른 도 1a의 반도체 디바이스 구조의 평면도이다.
도 2a 내지 도 2h는 일부 실시형태들에 따른 반도체 디바이스 구조를 형성하기 위한 프로세스의 여러 가지 단계들에 대한 횡단면도이다.
도 3a는 일부 실시형태들에 따른 반도체 디바이스 구조의 사시도이다.
도 3b는 일부 실시형태들에 따른 도 3a의 반도체 디바이스 구조의 평면도이다.
도 4aa 내지 도 4ga는 일부 실시형태들에 따른 반도체 디바이스 구조를 형성하기 위한 프로세스의 여러 가지 단계들에 대한 횡단면도이다.
도 4ab 내지 도 4gb는 일부 실시형태들에 따른 반도체 디바이스 구조를 형성하기 위한 프로세스의 여러 가지 단계들에 대한 횡단면도이다.
도 5는 일부 실시형태들에 따른 플라즈마 장치의 횡단면도이다.
도 6a는 일부 실시형태들에 따른 도 4ga 및 도 4gb의 반도체 디바이스 구조의 사시도이다.
도 6b는 일부 실시형태들에 따른 도 4gb 및 도 4gb의 반도체 디바이스 구조의 평면도이다.
도 7은 일부 실시형태들에 따른 게이트 스택의 확대도이다.
이하의 개시는 제공된 주제의 상이한 특징들을 구현하기 위한 많은 상이한 실시형태들, 또는 예들을 제공한다. 본 개시를 간소화하기 위해 구성요소 및 장치의 특정 예가 이하에서 설명된다. 물론, 이들은 예일 뿐이며, 제한적인 것으로 의도되지는 않는다. 예를 들어, 후속하는 설명에서 제2 특징부 상의 또는 그 위의 제1 특징부는, 제1 특징부와 제2 특징부가 직접 접촉하여 형성되는 실시형태들을 포함할 수 있으며, 제1 특징부와 제2 특징부가 직접 접촉하지 않도록 제1 특징부와 제2 특징부 사이에 추가의 특징부들이 형성될 수 있는 실시형태들도 또한 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자들을 반복할 수도 있다. 이러한 반복은 간소화와 명료화를 위한 것이며, 그 자체로 논의되는 다양한 실시형태들 및/또는 구성들 사이의 관계에 영향을 주는 것은 아니다.
또한, "아래의(beneath)", "아래쪽의(below)", "낮은(lower)", "위의(above)", "상부의(upper)" 등과 같은 공간적으로 상대적인 용어들이, 도면에 나타낸 하나의 요소 또는 특징부에 대한 또 다른 요소(들) 또는 특징부(들)의 관계를 논의하기 위해 설명의 편의상 여기에 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 배향 외에도 사용 중이거나 동작 중인 장치의 상이한 배향들을 포괄하도록 의도된 것이다. 장치는 다른 방식으로 배향될 수도 있고(90도 회전되거나 기타의 배향) 여기서 사용된 공간적으로 상대적인 기술어(descrtiptor)는 그에 따라 유사하게 해석될 수 있다. 추가의 동작들은 방법의 이전에, 방법의 동안에, 방법의 이후에 제공될 수 있고, 설명된 동작들의 일부는 방법의 다른 실시형태들에 대하여 대체 또는 생략될 수 있음을 이해하여야 한다.
도 1a는 일부 실시형태들에 따른 반도체 디바이스 구조(100)의 사시도이다. 도 1b는 일부 실시형태들에 따른 도 1a의 반도체 디바이스 구조(100)의 평면도이다. 도 2a는 일부 실시형태들에 따른 도 1b의 파선(sectional line) I-I’에 따라서 반도체 디바이스 구조(100)를 예시하는 횡단면도이다.
도 1a, 도 1b 및 도 2a에 도시된 바와 같이, 일부 실시형태들에 따르면, 기판(110)이 제공된다. 기판(110)은 반도체 웨이퍼(실리콘 웨이퍼 등) 또는 반도체 웨이퍼의 일부를 포함한다. 일부 실시형태들에서, 기판(110)은 단결정, 다결정 또는 비정질 구조에 있어서 실리콘 또는 게르마늄을 포함하는 기본적인 반도체 재료로 이루어져 있다.
일부 다른 실시형태들에 있어서, 기판(110)은 실리콘 탄화물, 갈륨 비소, 갈륨 인, 인화 인듐, 비화 인듐과 같은 화합물 반도체, SiGe 또는 GaAsP 또는 이들의 조합과 같은 혼정 반도체(alloy semiconductor)로 이루어져 있다. 기판(110)은 또한 다층 반도체, SOI(semiconductor on insulator)(실리콘 온 인슐레이터 또는 게르마늄 온 인슐레이터 등) 또는 이들의 조합을 포함할 수도 있다.
또한, 기판(110)은 도핑된 영역, 층간 유전체(ILD) 층 및/또는 도전성 특징부와 같은 구조를 포함할 수도 있다. 또한, 기판(110)은 단일 또는 복수의 재료층을 더 포함할 수도 있다. 예컨대, 이 재료층은 실리콘 층, 유전체 층 및/또는 도핑된 폴리-실리콘층을 포함할 수도 있다.
일부 실시형태들에 따르면, 도 1a, 도 1 및 도 2a에 도시된 바와 같이, 기판(110)은 핀 구조(112)를 가진다. 일부 실시형태들에 따르면, 핀 구조(112)는 서로 이격되어 있다. 일부 실시형태들에 있어서, 핀 구조(112)는 기판(110)을 패터닝함으로써 형성된다.
예컨대, 핀 구조(112)를 형성하는 것은, 기판(110) 위에 가로 놓이는 개구(도시되지 않음)를 가진 패터닝된 포토레지스트층을 형성하는 단계; 개구를 통하여 기판(110)의 일부를 제거하기 위하여 에칭 프로세스를 수행하는 단계; 및 패터닝된 포토레지스트층을 제거하는 단계를 포함한다. 에칭 프로세스는 반응성 이온 에칭(reactive ion etch; RIE) 또는 다른 적절한 프로세스를 포함한다.
일부 실시형태들에 따르면, 도 1a, 도 1b, 및 도 2a에 도시된 바와 같이, 분리층(isolation layer)(120)은 기판(110) 위에 그리고 핀 구조(112) 사이에(또는 핀 구조(112)에 인접하게) 형성된다. 일부 실시형태들에 따르면, 분리층(120)은 절연 재료를 포함한다. 이 절연 재료는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 다른 적용가능한 재료 또는 이들의 조합을 포함한다.
일부 실시형태들에 따르면, 분리층(120)의 형성은, 기판(110) 위에 분리 재료층(도시되지 않음)을 형성하는 단계; 및 핀 구조의 상부 부분을 노출시키기 위하여 분리 재료층 상에 에칭백 프로세스를 수행하는 단계를 포함한다. 일부 실시형태들에 따르면, 에칭백 프로세스는 건식 에칭 프로세스를 포함한다.
일부 실시형태들에 따르면, 도 1a, 도 1b 및 도 2a에 도시된 바와 같이, 더미 게이트 유전체층(132 및 134)이 각각 핀 구조(112) 위에 형성된다. 일부 실시형태들에 따르면, 더미 게이트 유전체층(132 및 134)은 실리콘 산화물로 구성된다.
일부 실시형태들에 따르면, 더미 게이트(142 및 144)는 각각 더미 게이트 유전체층(132 및 134) 위에 형성된다. 일부 실시형태들에 따르면, 더미 게이트(142 및 144)는 폴리실리콘으로 구성된다. 일부 실시형태들에 따르면, 더미 게이트(142 및 144)는 서로 이격되어 있다.
일부 실시형태들에 따르면, 더미 게이트 유전체층(132 및 134) 및 더미 게이트(142 및 144)를 형성하는 것은, 분리층(120) 및 핀 구조(112) 위에 더미 게이트 유전체 재료층(도시되지 않음)을 퇴적하는 단계; 상기 더미 게이트 유전체 재료층 위에 더미 게이트 재료층(도시되지 않음)을 퇴적하는 단계; 및 포토리소그래피 프로세스 및 에칭 프로세스에 의해 더미 게이트 재료층 및 더미 게이트 유전체 재료층을 패터닝하는 단계를 포함한다.
일부 실시형태들에 따르면, 더미 게이트 유전체 재료층은 화학적 기상 증착 프로세스(CVD 프로세스)를 이용하여 퇴적된다. 일부 실시형태들에 따르면, 더미 게이트 재료층은 화학적 기상 증착 프로세스를 이용하여 퇴적된다.
일부 실시형태들에 따르면, 도 2a 내지 도 2h는 반도체 디바이스 구조(100)를 형성하기 위한 프로세스의 여러 가지 단계에 대한 횡단면도이다. 일부 실시형태들에 따르면, 도 2a의 단계 이후에, 스페이서 층(150)은 도 2b에 도시된 바와 같이 더미 게이트 유전체층(132 및 134) 및 더미 게이트(142 및 144)를 커버하기 위하여 분리층(120) 위에 퇴적된다. 스페이서 층(150)은 실리콘 산화물 또는 실리콘 질화물과 같은 절연 재료를 포함한다. 일부 실시형태들에 따르면, 스페이서 층(150)은 화학적 기상 증착 프로세스를 이용하여 형성된다.
일부 실시형태들에 따르면, 도 2b 및 도 2c에 도시된 바와 같이, 스페이서 층(150)의 일부를 제거하기 위하여 이방성 에칭 프로세스를 수행한다. 일부 실시형태들에 따르면, 더미 게이트(142) 및 더미 게이트 유전체층(132)의 측벽 위에 남아 있는 스페이서 층(150)은 스페이서(152)를 형성한다. 일부 실시형태들에 따르면, 더미 게이트(144) 및 더미 게이트 유전체층(134)의 측벽 위에 남아 있는 스페이서 층(150)은 스페이서(154)를 형성한다.
일부 실시형태들에 따르면, 스페이서(152 및 154)는 이후에 다른 디바이스들로부터 형성되는 게이트를 전기적으로 분리시키도록 구성되고, 후속의 이온 주입 프로세스에서 마스크층으로서 기능하도록 구성된다. 일부 실시형태들에 따르면, 이방성 에칭 프로세스는 건식 에칭 프로세스를 포함한다.
일부 실시형태들에 따르면, 도 2c에 도시된 바와 같이, 핀 구조(112) 내에 크게 도핑된 영역(114)이 형성된다.일부 실시형태들에 따르면, 크게 도핑된 영역(114)은 더미 게이트(142 및 144) 및 스페이서(152 및 154)에 의해 노출되게 핀 구조(112) 내에 형성된다.
일부 실시형태들에 따르면, 이온 주입 프로세스를 이용하여 크게 도핑된 영역(114)이 형성된다. 일부 실시형태들에 따르면, 이온 주입 프로세스는 더미 게이트(142 및 144) 및 스페이서(152 및 154)를 이온 주입 마스크로서 이용한다. 일부 실시형태들에 따르면, 이온 주입 프로세스는 p-형 불순물(예컨대, 붕소) 또는 n-형 불순물(예컨대, 인)을 핀 구조(112)에 도입하기 위하여 수행된다.
일부 실시형태들에 따르면, 크게 도핑된 2개의 인접한 영역(114)은 크게 도핑된 소스 영역 및 크게 도핑된 드레인 영역이다. 일부 실시형태들에 따르면, 크게 도핑된 영역(114)은, 더미 게이트(142)의 2 개의 반대 측부 및 더미 게이트(144)의 2 개의 반대 측부에 위치된다.
그 후, 일부 실시형태(도시되지 않음)에 있어서, 일부 실시형태들에 따른 적절한 프로세스를 이용함으로써 크게 도핑된 영역(114) 내에 스트레서를 형성한다. 예컨대, 적절한 프로세스는 핀 구조(112)의 일부를 제거하기 위한 에칭 프로세스 및 SEG(selective epitaxial growth) 프로세스를 포함한다. 결과적으로 생성된 FinFET 디바이스의 원하는 타입에 따라서, 채널 영역에 압축 응력을 인가하는 스트레서(SiGe 스트레서 등) 또는 채널 영역에 인장 응력을 인가하는 스트레서(SiC 스트레서 등) 중 어느 하나가 형성된다.
일부 실시형태들에 따르면, 도 2c에 도시된 바와 같이, 크게 도핑된 영역(114)을 커버하기 위하여 기판(110) 위에 에칭 정지층(160)을 형성한다. 일부 실시형태들에 따르면, 에칭 정지층(160)은 또한 더미 게이트(142 및 144), 스페이서(152 및 154) 및 분리층(120)을 커버한다. 일부 실시형태들에 따르면, 에칭 정지층(160)은 유전체 재료를 포함한다. 일부 실시형태들에 따르면, 에칭 정지층(160)은 실리콘 질화물을 포함한다.
일부 실시형태들에 따르면, 도 2d에 도시된 바와 같이, 에칭 정지층(160) 위에 유전체층(170)이 퇴적된다. 일부 실시형태들에 따르면, 유전체층(170)은 분리층(120), 핀 구조(112), 더미 게이트(142 및 144) 및 스페이서(152 및 154)를 커버한다.
일부 실시형태들에 따르면, 유전체층(170)은 실리콘 산화물, 실리콘 산질화물, 붕규산 유리(borosilicate glass; BSG), BPSG(borophosphosilicate glass), 플루오르화 실리케이트 유리(fluorinated silicate glass; FSG), 저-k 재료, 다공성 유전체 재료 또는 이들의 조합을 포함한다. 일부 실시형태들에 따르면, 유전체층(170)은 CVD 프로세스, HDPCVD 프로세스, 스핀온 프로세스, 스퍼터링 프로세스 또는 이들의 조합을 이용하여 퇴적된다.
그 후, 일부 실시형태들에 따르면, 도 2e에 도시된 바와 같이, 더미 게이트(142 및 142)의 상부 표면이 노출될 때 까지 유전체층(170) 상에서 평탄화 프로세스를 수행한다. 일부 실시형태들에 따르면, 평탄화 프로세스는 화학적 기계적 연마(CMP) 프로세스를 포함한다. 평탄화 프로세스를 수행한 이후에, 유전체층(170)은 후속의 프로세스 단계들을 용이하게 하기 위하여 실질적으로 평탄한 표면을 가진다.
일부 실시형태들에 따르면, 도 2f에 도시된 바와 같이, 더미 게이트(142 및 144)가 제거된다. 일부 실시형태들에 따르면, 더미 게이트(142 및 144)를 제거하기 위한 제거 프로세스는, 습식 에칭 프로세스, 건식 에칭 프로세스 또는 이들의 조합을 포함한다. 일부 실시형태들에 따르면, 더미 게이트 유전체층(132 및 134)도 또한 제거된다.
일부 실시형태들에 따르면, 더미 게이트(142 및 144) 및 더미 게이트 유전체층(132 및 134)이 제거된 이후에, 트렌치(T1)가 스페이서(152) 사이에 형성되고, 트렌치(T2)가 스페이서(154) 사이에 형성된다. 일부 실시형태들에 따르면, 트렌치(T1)는 핀 구조(112)의 일부를 노출시킨다. 일부 실시형태들에 따르면, 트렌치(T2)는 핀 구조(112)의 다른 일부를 노출시킨다.
일부 실시형태들에 따르면, 트렌치(T1)의 폭(W1)은 트렌치(T2)의 폭(W2)보다 작다. 일부 실시형태들에 따르면, 폭(W1)은 약 5 nm 내지 약 50 nm의 범위를 가진다. 일부 실시형태들에 따르면, 폭(W2)은 약 51 nm 내지 약 500 nm의 범위를 가진다. 일부 실시형태들에 따르면, 폭(W1)에 대한 폭(W2)의 비율은 약 7 내지 약 13의 범위를 가진다.
일부 실시형태들에 따르면, 도 2g에 도시된 바와 같이, 트렌치(T1 및 T2)의 하부 표면(B1 및 B2) 위에 게이트 유전체층(180)이 형성된다. 일부 실시형태들에 따르면, 게이트 유전체층(180)은 또한 트렌치(T1 및 T2)의 내벽(S1 및 S2), 유전체층(170)의 상부 표면(172), 및 스페이서(152 및 154) 위에 형성된다.
게이트 유전체층(180)은 고 유전율(고-k) 재료와 같은 유전체 재료를 포함한다. 고-k 재료는 하프늄 옥사이드(HfO2), 하프늄 실리콘 옥사이드(HfSiO), 하프늄 실리콘 옥시니트라이드(HfSiON), 하프늄 탄탈륨 옥사이드(HfTaO), 하프늄 티타늄 옥사이드(HfTiO), 하프늄 지르코늄 옥사이드(HfZrO), 다른 적절한 고-k 유전체 재료 또는 이들의 조합을 포함한다.
일부 실시형태들에 따르면, 고-k 재료는, 금속 산화물, 금속 질화물, 금속 실리케이트, 전이 금속 산화물, 전이 금속 질화물, 전이 금속 실리케이트, 금속들의 산질화물, 알루미늄 산화물, 하프늄 디옥사이드-알루미나(HfO2-Al2O3) 합금, 다른 적절한 재료 또는 이들의 조합으로 구성된다.
일부 실시형태들에 따르면, 게이트 유전체층(180)은, 원자층 증착(ALD), 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 스퍼터링, 플레이팅, 다른 적절한 프로세스 또는 이들의 조합과 같은 임의의 적절한 프로세스에 의해 퇴적된다. 일부 실시형태들에 따르면, 게이트 유전체층(180)은 추가적으로 어닐링되어야 한다.
게이트 유전체층(180)이 형성되기 이전에 핀 구조(112) 위에 중간 유전체층(도시되지 않음)이 형성될 수도 있다. 중간 유전체층은 실리콘 산화물, 하프늄 실리케이트, 실리콘 산질화물 또는 이들의 조합과 같은 적절한 유전체 재료를 포함한다.
일부 실시형태들에 따르면, 도 2g에 도시된 바와 같이, 게이트 유전체층(180) 위에 일함수 층(190)이 퇴적된다. 일함수 층(190)은 개선된 임계 전압을 포함하여 디바이스 성능을 향상시키기 위하여 트랜지스터에 대한 원하는 일함수를 제공한다.
N-형 FinFET를 형성하는 실시형태들에 있어서, 일함수 층(190)은 약 4.5 eV 이하와 같은, 상기 디바이스에 적합한 일함수 값을 제공할 수 있는 n-형 금속일 수 있다. 일부 실시형태들에 따르면, n-형 금속은 금속, 금속 탄화물, 금속 질화물 또는 이들의 조합을 포함한다. 예컨대, n-형 금속은 탄탈륨, 탄탈륨 질화물 또는 이들의 조합으로 구성된다.
한편, p-형 FinFET를 형성하는 실시형태들에 있어서, 일함수 층(190)은, 약 4.8 eV 이상과 같은, 상기 디바이스에 적합한 일함수 값을 제공할 수 있는 p-형 금속일 수 있다. 일부 실시형태들에 따르면, p-형 금속은 금속, 금속 탄화물, 금속 질화물, 다른 적절한 재료 또는 이들의 조합을 포함한다.
예를 들어, p-형 금속은 티타늄, 티타늄 질화물, 다른 적절한 재료 또는 이들의 조합으로 구성된다. 일부 실시형태들에 따르면, 일함수 층(190)은 PVD 프로세스, CVD 프로세스, ALD 프로세스, 플레이팅 프로세스, 다른 적절한 방법 또는 이들의 조합을 이용하여 퇴적된다.
일부 실시형태들에 따르면, 도 2h에 도시된 바와 같이, 트렌치(T1 및 T2)를 충전하기 위하여 일함수 층(190) 위에 게이트 전극층(210)(금속 게이트 전극층으로도 지칭됨)을 퇴적한다. 일부 실시형태들에 따르면, 게이트 전극층(210)은, 알루미늄, 텅스텐, 금, 백금, 코발트, 다른 적절한 금속, 이들의 합금, 또는 이들의 조합과 같은 적절한 금속 재료를 포함한다.
일부 실시형태들에 따르면, 증착 프로세스는 원자층 증착(ALD) 프로세스 및 화학적 기상 증착(CVD) 프로세스를 포함한다. 일부 다른 실시형태들에 따르면, 게이트 전극층(210)은 PVD 프로세스, 플레이팅 프로세스 등 또는 이들의 조합을 이용하여 퇴적된다.
도 3a는 일부 실시형태들에 따른 반도체 디바이스 구조(100)의 사시도이다. 도 3b는 일부 실시형태들에 따른 도 3a의 반도체 디바이스 구조(100)의 평면도이다. 도 4aa는 일부 실시형태들에 따른 도 3b의 파선 I-I’에 따라서 반도체 디바이스 구조(100)를 예시하는 횡단면도이다. 도 4ab는 일부 실시형태들에 따른 도 3b의 파선 II-II’에 따라서 반도체 디바이스 구조(100)를 예시하는 횡단면도이다.
일부 실시형태들에 따르면, 도 2h의 단계 이후에, 트렌치(T1 및 T2) 외부의 게이트 전극층(210), 일함수 층(190) 및 게이트 유전체층(180)이 도 3a, 도 3b, 도 4aa 및 도 4ab에 도시된 바와 같이 제거된다. 일부 실시형태들에 따르면, 제거 프로세스는 평탄화 프로세스를 포함한다.
일부 실시형태들에 따르면, 평탄화 프로세스는 화학적 기계적 연마(CMP) 프로세스를 포함한다. 일부 실시형태들에 있어서, 제거 프로세스 이후에, CMP 프로세스로부터 잔여물을 클리닝하기 위하여 클리닝 프로세스를 수행한다. 클리닝 프로세스는 또한 트렌치(T1 및 T2) 내의 게이트 재료층(210)의 일부를 제거할 수도 있다. 일부 실시형태들에 따르면, 클리닝 프로세스는 건식 에칭 프로세스를 포함한다.
일부 실시형태들에 따르면, 트렌치(T1) 내의 게이트 재료층(210), 일함수 층(190) 및 게이트 유전체층(180)은 게이트 스택(G1)을 형성한다. 일부 실시형태들에 따르면, 트렌치(T2) 내의 게이트 재료층(210), 일함수 층(190) 및 게이트 유전체층(180)은 게이트 스택(G2)을 형성한다. 일부 실시형태들에 따르면, 게이트 스택(G2)의 게이트 재료층(210)은 상부 표면(212)을 가진다. 일부 실시형태들에 따르면, 상부 표면(212)은 리세스(212a)를 가진다.
일부 실시형태들에 따르면, 도 4aa 내지 도 4ga는 반도체 디바이스 구조(100)를 형성하기 위한 프로세스의 여러 가지 단계들에 대한 횡단면도이다. 일부 실시형태들에 따르면, 도 4ab 내지 도 4gb는 반도체 디바이스 구조(100)를 형성하기 위한 프로세스의 여러 가지 단계들에 대한 횡단면도이다.
일부 실시형태들에 따르면, 도 4ba 및 도 4bb에 도시된 바와 같이, 평탄화 층(220)은 게이트 스택(G1 및 G2) 위에 형성된다. 일부 실시형태들에 따르면, 평탄화 층(220)은 게이트 재료층(210), 일함수 층(190) 및 게이트 유전체층(180)의 상부 표면(212, 192 및 182)을 커버한다. 일부 실시형태들에 따르면, 평탄화 층(220)은 트렌치(T1 및 T2)를 충전한다.
일부 실시형태들에 따르면, 평탄화 층(220)은 또한 스페이서(152 및 154), 에칭 정지층(160) 및 유전체층(170) 위에 형성된다. 일부 실시형태들에 따르면, 평탄화 층(220)은 게이트 재료층(210), 일함수 층(190), 게이트 유전체층(180), 에칭 정지층(160) 및 유전체층(170)과 직접 접촉하고 있다.
일부 실시형태들에 따르면, 평탄화 층(220)은 리세스(212a)를 충전한다. 일부 실시형태들에 따르면, 평탄화 층(220)은 상부 표면(222)을 가진다. 일부 실시형태들에 따르면, 상부 표면(222)은 실질적으로 평탄한 상부 표면이다. 일부 실시형태들에 따르면, 상부 표면(222)은 게이트 재료층(210)의 상부 표면(212)보다 더 평탄하다.
일부 실시형태들에 따르면, 평탄화 층(220)은 게이트 재료층(210), 스페이서(152 및 154), 게이트 유전체층(180), 일함수 층(190) 및/또는 유전체층(170)의 재료와는 상이한 재료로 구성된다. 일부 실시형태들에 있어서, 평탄화층(220)은 절연 재료로 구성된다.
일부 실시형태들에서, 평탄화 층(220)은 고분자(polymer) 재료를 포함한다. 일부 실시형태들에서, 평탄화 층(220)은 탄소(C), 수소(H) 및 브롬(Br)을 포함한다. 일부 실시형태들에서, 평탄화 층(220)은 플라즈마 증착 프로세스에 의해 형성된다. 일부 실시형태들에서, 플라즈마 증착 프로세스에서 사용되는 반응 가스는 메탄 및 브롬화수소를 포함한다.
일부 실시형태들에서, 평탄화 층(220)은 포토레지스트 재료를 포함한다. 일부 실시형태들에서, 평탄화 층(220)은 코팅 프로세스(예컨대, 스핀 코팅 프로세스) 또는 화학적 기상 증착 프로세스에 의해 형성된다.
일부 실시형태들에서, 평탄화 층(220)의 가장 큰 두께(t1)는 약 10 nm 내지 약 100 nm의 범위를 가진다. 일부 실시형태들에서, 핀 구조(112) 위의 게이트 재료층(210)의 가장 큰 두께(t2)에 대한 가장 큰 두께(t1)의 비율(t1/t2)은 약 0.2 내지 약 3.3의 범위를 가진다. 일부 실시형태들에 따르면, 평탄화 층(220)의 가장 큰 두께(t1)는 리세스(212a)의 두께(D)보다 더 크다.
일부 실시형태들에 따르면, 도 4ca 및 도 4cb에 도시된 바와 같이, 트렌치(T1 및 T2) 외부의 평탄화 층(220) 및 일함수 층(190)의 상부 부분이 제거된다. 일부 실시형태들에 따르면, 제거 프로세스는 에칭 프로세스를 포함한다. 일부 실시형태들에 따르면, 에칭 프로세스는 건식 에칭 프로세스와 같은 이방성 에칭 프로세스를 포함한다.
일부 실시형태들에 따르면, 건식 에칭 프로세스는 플라즈마 에칭 프로세스를 포함한다. 일부 실시형태들에 따르면, 제거 프로세스 이후에, 평탄화 층(220)의 상부 표면(222)은 실질적으로 유전체 층(170)의 상부 표면(172)에 대하여 정렬(또는 이 표면(172)과 동일 평면상에 있게 됨)된다. 일부 실시형태들에 따르면, 상부 표면(222)은 게이트 재료층(210)의 상부 표면(212) 보다 더 평탄하다.
일부 실시형태들에 따르면, 도 4da 및 도 4db에 도시된 바와 같이, 게이트 유전체 층의 상부 부분이 제거된다. 일부 실시형태들에 따르면, 제거 프로세스는 건식 에칭 프로세스를 포함한다. 일부 실시형태들에 따르면, 건식 에칭 프로세스는 플라즈마 에칭 프로세스를 포함한다.
일부 실시형태들에 따르면, 도 4ea 및 도 4eb에 도시된 바와 같이, 트리밍 프로세스는 평탄화층(220) 및 게이트 재료층(210)의 상부 부분을 제거하기 위하여 수행된다. 일부 실시형태들에 따르면, 트리밍 프로세스는 에칭 프로세스를 포함한다. 일부 실시형태들에 따르면, 에칭 프로세스는 건식 에칭 프로세스와 같은 이방성 에칭 프로세스를 포함한다. 일부 실시형태들에 따르면, 건식 에칭 프로세스는 플라즈마 에칭 프로세스를 포함한다.
일부 실시형태들에 따르면, 제거 프로세스 이후에, 트렌치(T1) 내에 남아있는 게이트 재료층(210)은 게이트(214)를 형성한다. 일부 실시형태들에 따르면, 상기 트렌치(T2) 내에 남아있는 게이트 재료층(210)은 게이트(216)를 형성한다. 일부 실시형태들에 따르면, 이 단계에서, 트렌치(T1) 내의 게이트(214), 일함수 층(190) 및 게이트 유전체층(180)은 게이트 스택(G1)을 형성한다. 일부 실시형태들에 따르면, 트렌치(T2) 내의 게이트(216), 일함수 층(190) 및 게이트 유전체층(180)은 게이트 스택(G2)을 형성한다.
도 5는 일부 실시형태들에 따른 플라즈마 장치(500)의 횡단면도이다. 일부 실시형태들에 따르면, 도 4ca 내지 도 4ea 및 도 5에 도시된 바와 같이, 일함수 층(190), 게이트 유전체 층(180) 및 게이트 재료층(210)을 부분적으로 제거하기 위한 에칭 프로세스는 건식 에칭 프로세스를 포함한다. 일부 실시형태들에 따르면, 건식 에칭 프로세스는 플라즈마 에칭 프로세스를 포함한다.
일부 실시형태들에 따르면, 평탄화 층(220)의 퇴적 프로세스 및 전술한 에칭 프로세스들 중 적어도 하나는, 동일한 플라즈마 장치(500)를 이용하여 수행되되 상이한 반응 가스를 이용하여 수행된다. 일부 실시형태들에 따르면, 평탄화 층(220)의 퇴적 프로세스 및 전술한 에칭 프로세스들 중 적어도 하나는, 플라즈마 장치(500)의 동일한 챔버(510) 내에서 수행된다.
즉, 평탄화 층(220)의 퇴적 프로세스 및 전술한 에칭 프로세스들 중 적어도 하나는, 일부 실시형태들에 따르면 인시츄(in situ)로 수행된다. 따라서, 실시형태들은 퇴적 프로세스 및 전술한 에칭 프로세스 동안에 반도체 디바이스 구조(100)가 하나의 챔버로부터 다른 챔버로 이송되는 것을 방지한다. 그 결과, 실시형태들은 반도체 디바이스 구조(100)가 손상 또는 오염되는 것을 방지하고, 이는 반도체 디바이스 구조(100)의 수율을 향상시킨다.
일부 실시형태들에 따르면, 도 4ea에 도시된 바와 같이, 게이트(214)는 상부 표면(214a)을 가진다. 일부 실시형태들에 따르면, 게이트(216)는 상부 표면(216a)을 가진다. 일부 실시형태들에 따르면, 평탄화 층(220)의 상부 표면(222)이 평탄한 표면이므로, 상부 표면(214a 및 216a)은 또한 실질적으로 평탄한 상부 표면이다.
따라서, 일부 실시형태들에 따르면, 상부 표면(214a 및 216a)은 게이트 재료층(210)(도 4aa에 도시됨)의 상부 표면(212) 보다 더 평탄하다. 그 결과, 게이트(216)(또는 게이트(214))의 두께의 균일성이 향상되며, 이는 반도체 디바이스 구조(100)의 수율을 향상시킨다.
일부 실시형태들에 따르면, 도 4fa 및 도 4fb에 도시된 바와 같이, 절연층(230)은 트렌치(T1 및 T2)를 충전하기 위하여 게이트 스택(G1 및 G2) 위에 형성된다. 일부 실시형태들에 따르면, 절연층(230)은 게이트 스택(G1 및 G2), 스페이서(152 및 154), 에칭 정지층(160) 및 유전체 층(170)을 커버한다. 일부 실시형태들에 따르면, 절연층(230)은 실리콘 질화물을 포함한다. 절연층(230)은 CVD 프로세스, PVD 프로세스 또는 다른 적절한 프로세스에 의해 형성된다.
도 6a는 일부 실시형태들에 따른 도 4ga 및 도 4gb의 반도체 디바이스 구조(100)의 사시도이다. 도 6b는 일부 실시형태들에 따른 도 4ga 및 도4gb의 반도체 디바이스 구조(100)의 평면도이다. 도 4ga는 일부 실시형태들에 따른 도 6b의 파선 I-I’에 따라서 반도체 디바이스 구조(100)를 예시하는 횡단면도이다. 도 4gb는 일부 실시형태들에 따른 도 6b의 파선 II-II’에 따라서 반도체 디바이스 구조를 나타내는 횡단면도이다. 간략화를 위하여, 절연층(230)이 도 6b에서 생략되어 있음을 주목해야 한다.
일부 실시형태들에 따르면, 도 4ga, 도 4gb, 도 6a 및 도 6b에 도시된 바와 같이, 트렌치(T1 및 T2) 외부의 절연층(230)이 제거된다. 일부 실시형태들에 따르면, 제거 프로세스는 평탄화 프로세스를 포함한다. 일부 실시형태들에 따르면, 평탄화 프로세스는 화학적 기계적 연마 프로세스 등을 포함한다.
도 7은 일부 실시형태들에 따른 게이트 스택(G2)의 확대도이다. 일부 실시형태들에 따르면, 도 7에 도시된 바와 같이, 핀 구조(112)의 상부 표면(112a)에 대한 게이트(216)의 가장 높은 포인트는 높이(H1)를 가진다. 일부 실시형태들에 따르면, 핀 구조(112)의 상부 표면(112a)에 대한 게이트(216)의 가장 높은 포인트는 높이(H1)를 가진다. 일부 실시형태들에 따르면, 상부 표면(112a)에 대한 게이트(216)의 가장 낮은 포인트는 높이(H2)를 가진다.
일부 실시형태들에 따르면, 높이(H1)와 높이(H2) 간의 차(H1-H2)는 10 nm보다 작다. 일부 실시형태들에 따르면, 이 차(H1-H2)는 약 0.1 nm 내지 약 5 nm의 범위를 가진다. 일부 실시형태들에 따르면, 차(H1-H2)는 0.1 nm 내지 약 0.95 nm의 범위를 가진다.
일부 실시형태들에 따르면, 도 6b에 도시된 바와 같이, 게이트 스택(G2)은 폭(W2)과 동등한 폭을 가진다. 일부 실시형태들에 따르면, 게이트 스택(G2)은 길이(L)을 가진다. 도 6b 및 도 7에 도시된 바와 같이, 폭(W2)이 500 nm 이하이고 길이(L)가 1 μm 이상일 때, 차(H1-H2)는 약 0.1 nm 내지 약 5 nm의 범위를 가진다.
상기의 설명은, 당업자가 본 개시의 양태들을 더 잘 이해할 수 있도록 수 개의 실시형태들의 특징들을 약술한다. 당업자라면, 여기서 소개된 실시형태들과 동일한 목적을 달성 및/또는 동일한 이점을 달성하기 위한 기타의 프로세스 및 구조를 설계 또는 수정하기 위한 기초로서 본 개시를 용이하게 이용할 수 있다는 것을 이해하여야 한다. 당업자라면, 또한 이러한 등가의 구성이 본 개시의 사상과 범위로부터 벗어나지 않으며, 본 개시의 사상과 범위로부터 벗어나지 않고 다양한 변경, 대체, 및 변형을 가할 수 있는 있다는 것을 인식해야 한다.

Claims (10)

  1. 반도체 디바이스 구조를 형성하기 위한 방법에 있어서,
    기판 위에 유전체 층을 형성하는 단계로서, 상기 기판은 핀 구조를 가지며, 상기 유전체 층은 상기 핀 구조의 일부를 노출시키는 트렌치를 가지는 것인 상기 유전체 층을 형성하는 단계와,
    상기 트렌치 내에 게이트 재료층을 형성하는 단계와,
    상기 게이트 재료층 위에 평탄화 층을 형성하는 단계로서, 상기 평탄화 층은 제1 재료를 포함하며, 상기 제1 재료는 상기 게이트 재료층의 제2 재료 및 상기 유전체 층의 제3 재료와는 상이한 것인 상기 평탄화 층을 형성하는 단계와,
    상기 트렌치 내에 게이트를 형성하기 위하여 상기 평탄화 층 및 상기 게이트 재료층의 제1 상부 부분을 제거하도록 에칭 프로세스를 수행하는 단계를 포함하는 반도체 디바이스 구조를 형성하기 위한 방법.
  2. 제1항에 있어서, 상기 에칭 프로세스는 건식 에칭 프로세스를 포함하는 것인 반도체 디바이스 구조를 형성하기 위한 방법.
  3. 제1항에 있어서,
    상기 유전체 층의 형성 이후에 그리고 상기 게이트 재료층의 형성 이전에, 트렌치의 하부 표면 및 내벽 위에 일함수(work function) 층을 형성하는 단계로서, 상기 게이트 재료층은 상기 일함수 층 위에 형성되고, 상기 평탄화 층은 또한 상기 일함수 층 위에 형성되는 것인 상기 일함수 층을 형성하는 단계와,
    상기 평탄화 층의 형성 이후에, 상기 트렌치 외부의 평탄화 층 및 상기 일함수 층의 제2 상부 부분을 제거하는 단계를 더 포함하는 반도체 디바이스 구조를 형성하기 위한 방법.
  4. 제3항에 있어서,
    상기 유전체 층의 형성 이후에 그리고 상기 일함수 층의 형성 이전에,
    상기 트렌치의 상기 하부 표면 및 상기 내벽 위에 게이트 유전체층을 형성하는 단계로서, 상기 일함수 층은 상기 게이트 유전체층 위에 형성되는 것인 상기 게이트 유전체층을 형성하는 단계와,
    상기 트렌치 외부의 상기 평탄화 층 및 상기 일함수 층의 제2 상부 부분의 제거 이후에, 상기 게이트 유전체층의 제3 상부 부분을 제거하는 단계를 더 포함하는 반도체 디바이스 구조를 형성하기 위한 방법.
  5. 제1항에 있어서, 상기 게이트 재료층은 리세스(recess)를 가지며, 상기 평탄화 층을 형성하는 단계는, 상기 게이트 재료층 위에 상기 평탄화 층을 형성하는 단계 및 상기 리세스를 충전하는 단계를 포함하는 것인 반도체 디바이스 구조를 형성하기 위한 방법.
  6. 제5항에 있어서, 상기 평탄화 층의 두께는 상기 리세스의 깊이보다 더 큰 것인 반도체 디바이스 구조를 형성하기 위한 방법.
  7. 제1항에 있어서, 상기 평탄화 층은 평탄한 상부 표면을 갖도록 형성되는 것인 반도체 디바이스 구조를 형성하기 위한 방법.
  8. 제1항에 있어서, 상기 평탄화 층은 고분자(polymer) 재료를 포함하는 것인 반도체 디바이스 구조를 형성하기 위한 방법.
  9. 반도체 디바이스 구조를 형성하기 위한 방법에 있어서,
    기판 위에 유전체 층을 형성하는 단계로서, 상기 기판은 핀 구조를 가지며, 상기 유전체 층은 상기 핀 구조의 일부를 노출시키는 트렌치를 가지는 것인 상기 유전체 층을 형성하는 단계와,
    상기 트렌치 내에 게이트 재료층을 형성하는 단계와,
    상기 게이트 재료층 위에 평탄화 층을 형성하기 위하여 플라즈마 증착 프로세스를 수행하는 단계와,
    상기 트렌치 내에 게이트를 형성하기 위하여 상기 평탄화 층 및 상기 게이트 재료층의 제1 상부 부분을 제거하도록 에칭 프로세스를 수행하는 단계를 포함하는 것인 반도체 디바이스 구조를 형성하기 위한 방법.
  10. 반도체 디바이스 구조를 형성하기 위한 방법에 있어서,
    기판 위에 유전체 층을 형성하는 단계로서, 상기 기판은 핀 구조를 가지며, 상기 유전체 층은 상기 핀 구조의 일부를 노출시키는 트렌치를 가지는 것인 상기 유전체 층을 형성하는 단계와,
    상기 트렌치 내에 게이트 재료층을 형성하는 단계와,
    상기 게이트 재료층 위에 평탄화 층을 형성하는 단계와,
    상기 평탄화 층 및 상기 게이트 재료층의 제1 상부 부분을 제거하도록 이방성 에칭 프로세스를 수행하는 단계로서, 상기 트렌치 내에 남아 있는 상기 게이트 재료층은 게이트를 형성하고, 상기 게이트의 제1 상부 표면은 상기 이방성 에칭 프로세스 이전의 상기 게이트 재료층의 제2 상부 표면보다 더 평탄한 것인 상기 이방성 에칭 프로세스를 수행하는 단계를 포함하는 반도체 디바이스 구조를 형성하기 위한 방법.
KR1020150070411A 2014-12-24 2015-05-20 게이트를 가진 반도체 디바이스 구조를 형성하기 위한 방법 KR101756524B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462096753P 2014-12-24 2014-12-24
US62/096,753 2014-12-24
US14/625,291 US9799565B2 (en) 2014-12-24 2015-02-18 Method for forming semiconductor device structure with gate
US14/625,291 2015-02-18

Publications (2)

Publication Number Publication Date
KR20160078210A true KR20160078210A (ko) 2016-07-04
KR101756524B1 KR101756524B1 (ko) 2017-07-10

Family

ID=56116807

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150070411A KR101756524B1 (ko) 2014-12-24 2015-05-20 게이트를 가진 반도체 디바이스 구조를 형성하기 위한 방법

Country Status (5)

Country Link
US (4) US9799565B2 (ko)
KR (1) KR101756524B1 (ko)
CN (1) CN105742184B (ko)
DE (1) DE102015103264B4 (ko)
TW (1) TWI615969B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220105101A (ko) * 2021-01-19 2022-07-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 게이트에 대한 방법 및 구조물

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10636673B2 (en) * 2017-09-28 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
CN109585290B (zh) * 2017-09-28 2022-03-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10903336B2 (en) * 2017-11-28 2021-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
WO2019125456A1 (en) * 2017-12-21 2019-06-27 Intel Corporation Quantum dot devices
WO2019135770A1 (en) * 2018-01-08 2019-07-11 Intel Corporation Quantum dot devices with ordered crystalline materials
TWI804632B (zh) * 2019-06-05 2023-06-11 聯華電子股份有限公司 半導體元件及其製作方法
CN112151381A (zh) * 2019-06-28 2020-12-29 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20220285160A1 (en) * 2021-03-05 2022-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with gate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
US5942449A (en) * 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
KR100212455B1 (ko) * 1996-11-04 1999-08-02 정선종 이중 게이트 구조의 반도체 소자 제조 방법
US6787408B2 (en) * 2001-05-22 2004-09-07 Macronix International Co., Ltd. Method for forming an electrical insulating layer on bit lines of the flash memory
TW587305B (en) * 2003-05-30 2004-05-11 Nanya Technology Corp A method for controlling the upper width of a trench
KR100553703B1 (ko) * 2003-10-01 2006-02-24 삼성전자주식회사 반도체 소자 및 그 형성 방법
DE102005022306B4 (de) 2004-05-17 2009-12-31 Samsung Electronics Co., Ltd., Suwon Verfahren zum Herstellen einer Halbleitervorrichtung mit einem Fin-Feldeffekttransistor (FinFET)
JP2007243105A (ja) * 2006-03-13 2007-09-20 Sony Corp 半導体装置およびその製造方法
US8217435B2 (en) * 2006-12-22 2012-07-10 Intel Corporation Floating body memory cell having gates favoring different conductivity type regions
US8334184B2 (en) * 2009-12-23 2012-12-18 Intel Corporation Polish to remove topography in sacrificial gate layer prior to gate patterning
US8513107B2 (en) 2010-01-26 2013-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement gate FinFET devices and methods for forming the same
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
CN102543699B (zh) * 2010-12-23 2014-04-02 中芯国际集成电路制造(上海)有限公司 一种金属栅极的形成方法
US8455307B2 (en) 2011-05-19 2013-06-04 GlobalFoundries, Inc. FINFET integrated circuits and methods for their fabrication
US8889501B2 (en) 2012-06-01 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming MOS devices with raised source/drain regions
US8853076B2 (en) 2012-09-10 2014-10-07 International Business Machines Corporation Self-aligned contacts
US9147753B2 (en) 2012-11-21 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having uniform doping profile and method of forming the same
US20140179093A1 (en) 2012-12-20 2014-06-26 GlobalFoundries, Inc. Gate structure formation processes
US8835244B2 (en) 2013-02-21 2014-09-16 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits having metal gate electrodes
US8987791B2 (en) 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
CN104078357B (zh) * 2013-03-28 2017-08-25 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
WO2014163603A1 (en) 2013-03-30 2014-10-09 Intel Corporation Planar device on fin-based transistor architecture
KR102030329B1 (ko) * 2013-05-30 2019-11-08 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20150076624A1 (en) * 2013-09-19 2015-03-19 GlobalFoundries, Inc. Integrated circuits having smooth metal gates and methods for fabricating same
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US20150187945A1 (en) * 2014-01-02 2015-07-02 Globalfoundries Inc. Salicide protection during contact metallization and resulting semiconductor structures
US9252243B2 (en) * 2014-02-07 2016-02-02 International Business Machines Corporation Gate structure integration scheme for fin field effect transistors
US9318384B2 (en) * 2014-03-24 2016-04-19 International Business Machines Corporation Dielectric liner for a self-aligned contact via structure
US9443770B2 (en) * 2014-05-20 2016-09-13 International Business Machines Corporation Patterning process for fin implantation
US9425053B2 (en) * 2014-06-27 2016-08-23 International Business Machines Corporation Block mask litho on high aspect ratio topography with minimal semiconductor material damage
US9190488B1 (en) * 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220105101A (ko) * 2021-01-19 2022-07-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 게이트에 대한 방법 및 구조물

Also Published As

Publication number Publication date
KR101756524B1 (ko) 2017-07-10
US10522411B2 (en) 2019-12-31
US11469145B2 (en) 2022-10-11
US9799565B2 (en) 2017-10-24
CN105742184A (zh) 2016-07-06
DE102015103264A1 (de) 2016-06-30
US20200126864A1 (en) 2020-04-23
CN105742184B (zh) 2019-05-21
US20160190013A1 (en) 2016-06-30
TW201624702A (zh) 2016-07-01
US20220375795A1 (en) 2022-11-24
TWI615969B (zh) 2018-02-21
US20180047633A1 (en) 2018-02-15
DE102015103264B4 (de) 2017-01-26

Similar Documents

Publication Publication Date Title
US10763341B2 (en) Semiconductor device structure and method for forming the same
KR101756524B1 (ko) 게이트를 가진 반도체 디바이스 구조를 형성하기 위한 방법
US9947766B2 (en) Semiconductor device and fabricating method thereof
CN107170825B (zh) 半导体器件、鳍式场效晶体管器件及其形成方法
US10262894B2 (en) FinFET device and method for forming the same
US9865697B1 (en) Semiconductor device structure and method for forming the same
US10790394B2 (en) Semiconductor device structure and method for forming the same
US9502527B2 (en) Semiconductor device structure having multi-layered insulating cap layers over metal gate
US10032913B2 (en) Contact structures, FinFET devices and methods of forming the same
US20180144943A1 (en) Semiconductor structure and manufacturing method thereof
US9653364B1 (en) FinFET device and method of forming the same
TW202119479A (zh) 半導體裝置結構及其形成方法
US20230223305A1 (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant