KR20160011183A - 중합체 열산 발생제를 포함하는 조성물 및 이의 제법 - Google Patents

중합체 열산 발생제를 포함하는 조성물 및 이의 제법 Download PDF

Info

Publication number
KR20160011183A
KR20160011183A KR1020157032739A KR20157032739A KR20160011183A KR 20160011183 A KR20160011183 A KR 20160011183A KR 1020157032739 A KR1020157032739 A KR 1020157032739A KR 20157032739 A KR20157032739 A KR 20157032739A KR 20160011183 A KR20160011183 A KR 20160011183A
Authority
KR
South Korea
Prior art keywords
polymer
acrylate
group
photoresist pattern
methacrylate
Prior art date
Application number
KR1020157032739A
Other languages
English (en)
Inventor
헹펭 우
성은 홍
이 카오
지안 음
마가레타 파우네스쿠
무티아 티야가라얀
Original Assignee
에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. filed Critical 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘.
Publication of KR20160011183A publication Critical patent/KR20160011183A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/34Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F226/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a single or double bond to nitrogen or by a heterocyclic ring containing nitrogen
    • C08F226/06Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a single or double bond to nitrogen or by a heterocyclic ring containing nitrogen by a heterocyclic ring containing nitrogen
    • C08F226/10N-Vinyl-pyrrolidone
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F8/00Chemical modification by after-treatment
    • C08F8/44Preparation of metal salts or ammonium salts
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur or oxygen atoms in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D139/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a single or double bond to nitrogen or by a heterocyclic ring containing nitrogen; Coating compositions based on derivatives of such polymers
    • C09D139/04Homopolymers or copolymers of monomers containing heterocyclic rings having nitrogen as ring member
    • C09D139/06Homopolymers or copolymers of N-vinyl-pyrrolidones
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2201/00Properties
    • C08L2201/54Aqueous solutions or dispersions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Paints Or Removers (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 중합체 열산 발생제를 포함하는 신규 수성 조성물, 및 신규 조성물을 포토레지스트 패턴 상에 코팅함으로써 포토레지스트 패턴 위에 중합체 열산 발생제 층을 형성하는 방법에 관한 것이다. 중합체 열산 발생제는 하기 구조식 2의 하나 이상의 반복 단위를 갖는 중합체를 포함한다:
Figure pct00017

상기 식에서, R1 내지 R5는 H 및 C1-C6 알킬로 이루어진 군에서 독립적으로 선택되고; R6은 비치환된 아릴, 치환된 아릴, 알킬 (C1-C8) 및 플루오로알킬 (C1-C8)로 이루어진 군에서 선택되고 W는 C2-C6 알킬렌 스페이서이다.

Description

중합체 열산 발생제를 포함하는 조성물 및 이의 제법{A COMPOSITION COMPRISING A POLYMERIC THERMAL ACID GENERATOR AND PROCESSES THEREOF}
본 발명은 중합체 열산 발생제를 포함하는 신규 수성 조성물 및 신규 조성물을, 포토레지스트 패턴, 특히 수성 염기로 현상 가능한 화학 증폭된 포지티브 레지스트 상에 코팅하여, 포토레지스트 패턴 위에 중합체 열산 발생제 층을 형성하는 방법에 관한 것이다. 본 발명은 또한 미세 패턴을 형성하는 방법에 관한 것이다.
반도체 기술에서 집적 회로의 치밀화는 집적 회로 내 미세 상호접속부를 제조할 필요에 의해 달성되었다. 초미세 패턴은 통상 포토리소그래피 기법을 이용하여 포토레지스트 코팅에 패턴을 형성함으로써 생성된다.
집적 회로의 소형화는 포토레지스트 내 점점 더 좁은 치수의 인쇄를 필요로 한다. 포토레지스트에 의해 인쇄하고자 하는 치수를 줄이기 위한 각종 기술들이 개발되었고, 이러한 기술들의 예로는, 다단계 코팅, 반사 방지 코팅, 상 이동 마스크, 점점 더 짧은 파장에 감광성인 포토레지스트 등이 있다.
더 작은 치수를 인쇄하는 특정 공정은 포토레지스트 패턴의 상부 상에 얇은 중합체 층을 형성하여, 포토레지스트 패턴을 확장시키고 인접한 포토레지스트 특징부 사이의 공간의 치수를 감소시키는 기법에 의존한다. 이렇게 좁아진 공간은 기판을 에칭하고 형성하는데 이용되거나 또는 금속과 같은 재료를 증착시키는데 이용될 수 있다. 이러한 이단계 기법은 새로운 포토레지스트 화학을 재조정해야하는 필요성 없이 마이크로전자 장치를 위한 제조 공정의 일부로서 훨씬 더 작은 치수가 형성되도록 한다. 상부 코팅 층 또는 축소 재료는 무기 층, 예컨대 유전 재료일 수 있거나, 또는 유기물, 예컨대 가교결합성 중합체 재료일 수 있다.
유전 축소 재료가 US 5,863,707에 기술되어 있으며, 이산화규소, 질화규소, 산질화규소, 스핀온(spin on) 재료 또는 화학 증착된 재료를 포함한다. 유기 중합체 코팅이 US 5,858,620에 기술되어 있으며, 그러한 코팅은 산의 존재 하에 가교결합성 반응이 일어나서 포토레지스트 표면에 결합하게 되지만, 상부 수축 코팅이 가교결합되지 않는 경우 제거되게 된다. US 5,858,620에는 반도체 디바이스의 제조 방법이 개시되며, 여기서 기판은 상부 층에 코팅되는 패턴화된 포토레지스트를 갖고, 이후 포토레지스트가 노광되고 가열됨으로써 포토레지스트 내 광발생된 산이 상부 층을 통해 확산되고 이후 상부 층을 가교결합시킬 수 있다. 상부 코트를 통해 산이 확산되는 범위는 가교결합된 층의 두께를 결정한다. 가교결합되지 않은 상부 층 부분은 중합체를 용해시킬 수 있는 용액을 이용하여 제거된다. 더하여, US 7,745,007에는 마이크로전자 장치를 제조하는 공정에 사용되는, 하나 이상의 알킬아미노 기 함유 수용성 중합체를 포함하는 수성 수축 코팅 조성물이 개시된다.
본 발명에 유용한 포토레지스트 패턴은 기존의 리소그래피 기법, 예컨대 UV 리소그래피(450 nm 내지 10 nm), 액침 리소그래피, EUV 또는 e-빔을 통해 노광되는 화학 증폭된 포지티브 레지스트 조성물로부터 기인한 것이다. 그러한 하나의 포토레지스트 코팅 조성물은 염기 용해성 기를 차단하는 산 불안정성 기 함유 중합체 및 광산 발생제(PAG)를 포함한다. 화학 증폭된 포지티브 포토레지스트 수지에 결합되고 산 불안정성 기에 의해 차단될 수 있는 염기 용해성 기의 예로는 카르복실산 모이어티(-C=O-OH), 페놀 모이어티(예, 노볼락 수지 및 히드록시스티렌 공중합체에서와 같음), 플루오르화된 알콜 모이어티, 예컨대 Rf가 C1-C4 플루오로알킬 기인 -C(C(Rf)3)2OH가 있다.
소형화된 전자 부품을 제조하기 위한 마이크로리소그래피 공정, 예컨대 컴퓨터 칩 및 집적 회로의 제작에서 포토레지스트 조성물이 사용된다. 일반적으로, 이러한 공정에서는, 우선 포토레지스트 조성물 필름을 집적 회로의 제조에 사용되는 실리콘계 웨이퍼와 같은 기판 재료에 도포한다. 이후 이 코팅된 기판을 소성시켜 포토레지스트 조성물 중 임의의 용매를 증발시키고 기판 상에 코팅을 고정시킨다. 다음으로는, 기판의 코팅되고 소성된 표면을 방사선에 이미지 형성 방식(image-wise)으로 노광시킨다.
이러한 방사선 노광은 코팅된 표면의 노광된 부분에 화학적 변형을 유도한다. 가시광선, 자외선(UV), 전자빔 및 X-선 방사 에너지는 최근 마이크로리소그래피 공정에 통상적으로 사용되는 방사선 유형이다. 이러한 이미지 형성 방식 노광 후, 코팅된 기판을 현상액으로 처리하여 기판의 코팅된 표면의 방사선 노광된 또는 비노광된 부분을 용해 및 제거한다. 포토레지스트는 포지티브 또는 네가티브 작용성일 수 있다.
블럭 공중합체의 방향성 자가 조립(directed self assembly)은 나노규모 범위의 특징부의 임계 치수(CD)가 실현될 수 있는 마이크로전자 장치의 제조를 위한 점점 더 작은 패턴화된 특징부를 생성하는 유용한 방법이다. 블럭 공중합체 이미징을 이용한 방향성 조립 기법, 예컨대 그래포에피택시(graphoepitaxy) 및 케모에피택시(chemoepitaxy)는 CD 변화를 감소시키면서 해상도를 향상시키는 데 사용되는 상당히 바람직한 기법이다. 이러한 기법은 기존의 UV 리소그래피 기법을 향상시키거나 또는 EUV, e-빔, 원자외선(deep UV) 또는 액침 리소그래피를 이용하는 접근에 있어서 심지어 더 높은 해상도 및 CD 제어가 가능하게 하는데 사용될 수 있다. 방향성 자가 조립 블럭 공중합체는 내에칭성 공중합체 단위 블럭 및 고도 에칭성 공중합체 단위 블럭을 포함하고, 이는 기판 상에 코팅, 정렬 및 에칭되었을 때 매우 높은 밀도 패턴 영역을 제공한다. 신규 중성 층 조성물과 함께 방향성 자가 조립에서 사용하기 위한 블럭 공중합체는 자가 조립을 통해 도메인을 형성할 수 있는 임의의 블럭 공중합체일 수 있다.
본 발명은 중합체 열산 발생제를 포함하는 신규 조성물에 관한 것이며 또한 이러한 조성물을 사용하여 미세 패턴을 형성하는 신규 방법에 관한 것이다.
도 1에는 중합체 열산 발생제를 사용한 포토레지스트 특징부의 캡핑(capping)이 도시되어 있다.
도 2에는 중합체 열산 발생제를 사용한 포토레지스트 특징부의 트리밍(trimming)이 도시되어 있다.
도 3에는 중합체 열산 발생제를 사용한 이중 패턴화 공정이 도시되어 있다.
도 4에는 중합체 열산 발생제를 이용한 오리지날 포토레지스트 특징부의 리소그래픽 이미지 반전(reversal)이 도시되어 있다.
본 발명의 요약
본 발명은 중합체 열산 발생제를 포함하는 신규 수성 조성물 및 신규 조성물의 코팅을 포토레지스트 패턴 상에 형성함으로써 포토레지스트 패턴 위에 중합체 열산 발생제 층을 형성하는 방법에 관한 것이다.
중합체 열산 발생제는 하기 구조식 2의 하나 이상의 반복 단위를 갖는 중합체를 포함한다:
Figure pct00001
상기 식에서, R1 내지 R5는 H 및 C1-C6 알킬로 이루어진 군에서 독립적으로 선택되고; R6은 비치환된 아릴, 치환된 아릴, 알킬 (C1-C8) 및 플루오로알킬 (C1-C8)로 이루어진 군에서 선택되고 W는 C2-C6 알킬렌 스페이서이다. 일 구체예에서, W는 메틸렌 또는 에틸렌이다.
본 발명의 상세한 설명
본 발명은 중합체 열산 발생제를 포함하는 신규 수성 조성물에 관한 것이고 또한 미세 패턴을 형성하는 신규 방법에 관한 것이다. 본 발명은 신규 조성물을 포토레지스트 패턴, 예컨대 수성 염기로 현상 가능한 화학 증폭된 포지티브 포토레지스트 상에 코팅하여, 후속 적용된 소성 및 수성 현상 후, 포토레지스트 패턴 위에 중합체 열산 발생제 층을 형성하는 방법에 관한 것이다. 코팅된 패턴은 패턴을 형성하는 데 추가 처리로 사용될 수 있다.
중합체 열산 발생제는 중합체 아민과 설폰산의 반응 생성물이다. 중합체 아민은 하기 구조식 1의 하나 이상의 단위를 포함한다:
Figure pct00002
상기 식에서, R1 내지 R5는 H 및 C1-C6 알킬로 이루어진 군에서 독립적으로 선택된다. 중합체 열산 발생제를 수중 용해시켜 수용액을 형성한다. 중합체 열산 발생제는 하기 구조식 2의 하나 이상의 반복 단위를 갖는 중합체를 포함할 수 있다:
Figure pct00003
상기 식에서, R1 내지 R5는 H 및 C1-C6 알킬로 이루어진 군에서 독립적으로 선택되고; R6은 비치환된 아릴, 치환된 아릴, 알킬 (C1-C8) 및 플루오로알킬 (C1-C8)로 이루어진 군에서 선택되고 W는 C2-C6 알킬렌 스페이서이다. 일 구체예에서, W는 메틸렌 또는 에틸렌이다.
신규 중합체 열산 발생제는 구조식 3의 하나 이상의 단량체 단위를 추가로 포함할 수 있다:
Figure pct00004
상기 식에서, R7 내지 R9는 수소 및 C1 내지 C6 알킬에서 독립적으로 선택되고, A는 단일 결합, O, C(O), (C=O)O 및 C1 내지 C4 알킬로 이루어진 군에서 선택되고; X, Y, Z 및 N은 환형 구조를 형성하고, 추가로 이때 X는 C1 내지 C6 알킬렌, 불포화된 C1 내지 C6 알킬렌, 직접 결합 및 이의 혼합물에서 선택되고, Y는 C1 내지 C6 알킬렌, 불포화된 C1 내지 C6 알킬렌, 직접 결합 및 이의 혼합물에서 선택되고, Z는 O, C(O) 및 N에서 선택되고, N은 질소이다.
구조식 3의 단량체 단위의 더욱 구체적인 예는 하기 식일 수 있다:
Figure pct00005
상기 식에서, R7 내지 R9는 수소 및 C1 내지 C6 알킬에서 독립적으로 선택되고, A는 단일 결합, O, C(O), (C=O)O 및 C1 내지 C4 알킬에서 선택되고; X, Y, Z 및 N은 환형 구조를 형성하고, 추가적으로 이때, X는 C1 내지 C6 알킬렌, 불포화된 C1 내지 C6 알킬렌, 직접 결합 및 이의 혼합물에서 선택되고, Y는 C1 내지 C6 알킬렌, 불포화된 C1 내지 C6 알킬렌, 직접 결합 및 이의 혼합물에서 선택되고, Z는 O, C(O), 및 N에서 선택되고, N은 질소이다. 더욱 더 구체적으로는, 구조식 3의 단량체 단위는 하기 식에서 선택될 수 있다:
Figure pct00006
신규한 중합체 열산 발생제는 물 포함 용매 또는 물 및 수혼화성 용매 중에 용해될 수 있다. 적당한 수혼화성 용매의 비제한적 예는 다음과 같다: (C1-C8)알콜, 디올, 트리올, 케톤, 에스테르, 락테이트, 아미드, 에틸렌 글리콜 모노알킬 에테르, 에틸렌 글리콜 모노알킬 에테르 아세테이트, N-메틸 피롤리돈, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 및 프로필렌 글리콜 모노에틸 에테르 아세테이트. 신규 조성물은 추가적으로 첨가제, 예컨대 계면활성제, C1-C8 알콜 또는 가교결합성 화합물을 포함할 수 있다. 중합체 열산 발생제의 고체 함량은 0.5∼20 중량%의 범위일 수 있다. 일 구체예에서, 신규 조성물은 가교결합성 제제를 포함하지 않는다. 신규 조성물은 추가로 첨가제, 예컨대 계면활성제, 가교결합성 화합물 등을 포함할 수 있다.
신규 중합체 열산 발생제는 설폰산과 자유 아미노 기를 함유하는 단위 1을 포함하는 중합체의 수용액의 혼합물을 제공하는 것, 즉 중합체를 단리하지 않는 것에 의해서 보다는 건조 형태의 신규 중합체를 단리시킴으로써 제조될 수 있다. 유기 용매, 예컨대 아세토니트릴 중에 용해된 반복 단위 (1)을 함유하는 중합체에 설폰산을 첨가한 후, 유기 용매, 예컨대 디에틸 에테르에 침전시킴으로써 상기 중합체를 단리시킬 수 있다. 아미노 반복 단위 (1) 및 이의 공중합체를 함유하는 출발 공중합체는 라디칼 개시제, 예컨대 AIBN을 사용하여 아세토니트릴(이소프로필알콜(IPA), 2-부탄온 및 메탄올이 또한 아세토니트릴 대신에 사용될 수 있음) 중에서 유형 (1) 및 유형 (3)의 반복 단위가 유도되는 알켄 단량체와 통상적인 라디칼 중합에 의해 만들어질 수 있다.
통상, 그러한 중합은 개시제 유형에 따라 60∼90℃의 온도에서 일어날 수 있다. 유형 (1)의 반복 단위를 함유하는 중간 중합체의 단리는 용매, 예컨대 디에틸 에테르 내 침전, 중간 검(gum)의 단리, 메탄올 중의 용해 및 에테르 내로의 재침전에 의해 달성된다.
Figure pct00007
신규 중합체의 분자량은 5,000∼200,000 g/몰의 범위 내에 있을 수 있다.
신규 중합체 열산 발생제 조성물을, 포토레지스트 패턴, 예컨대 수성 염기로 현상 가능한 화학 증폭된 포지티브 포토레지스트 상에 코팅하고, 100℃∼180℃에서 후속 적용 소성(PAB)을 실시하고, 이어서 현상하여 수성 제거 용액으로 미반응된 중합체 열산 발생제를 제거함으로써, 포토레지스트 패턴 위에 코팅 층을 형성할 수 있다. PAB 동안, 중합체 산 발생제는 활성화되고, 확산되고 포토레지스트를 탈보호한다. 포토레지스트 층의 탈보호된 깊이는 PAB에 의해 조절된다. 수성 제거액은 (C1-C8) 알콜, 디올, 트리올, 케톤, 에스테르, 락테이트, 아미드, 에틸렌 글리콜 모노알킬 에테르, 에틸렌 글리콜 모노알킬 에테르 아세테이트, N-메틸 피롤리돈, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 및 프로필렌 글리콜 모노에틸 에테르 아세테이트로 이루어진 군에서 선택된 수용성 용매와 혼합된 물 또는 물을 포함할 수 있다. 추가적으로, 수성 제거액은 계면활성제, C1-C8 알콜에서 선택된 첨가제를 추가로 포함할 수 있다.
도 1에는 신규 중합체를 포함하는 코팅의 형성에 대한 개략도가 도시된다. 도 1에서, (1)은 포토레지스트 패턴을 나타내고, (2)는 패턴을 커버하는 신규 조성물을 나타내고 (3)은 신규 코팅된 층이다.
신규 조성물을 사용하기 위한 일 구체예에서, 오리지날 포토레지스트 패턴의 트리밍을 유도할 수 있는 공정을 이용한다(도 2). 본 발명은 트리밍 공정에 이용하였을 때 제1 포토레지스트 패턴으로 이용될 수 있고, 이때 포토레지스트는 통상의 리소그래피 기법, 예컨대 UV 리소그래피(450 nm∼10 nm), 액침 리소그래피, EUV 또는 e-빔에 의해 이미지화되는 화학 증폭된 포지티브 포토레지스트일 수 있다. 우선, 중합체의 염기 용해성 모이어티를 보호하는 산 불안정성 기를 갖는 중합체, 및 광산 발생제를 포함하는 포토레지스트에 의해 통상의 리소그래피 기법, 예컨대 포토리소그래피를 사용하여 포토레지스트 패턴을 발생시킨다. 이후, 신규 중합체 열산 발생제 조성물을 포토레지스트 패턴 위에 코팅하고, 코팅된 패턴에 PAB를 실시하여 신규 열산 발생제를 활성화시킨다. 이렇게 활성화된 산 발생제를 이후 이면의 포토레지스트 패턴의 얇은 층에 확산시키고 이를 탈보호한다. 수성 알칼리 현상제, 예컨대 테트라메틸암모늄 히드록시드(TMAH)에 의해 패턴을 현상한 후, 더 작은 치수를 갖는 패턴을 얻는다. 이러한 트리밍 공정은 화학 증폭된 포지티브 레지스트에 형성된 라인 및 공간(L/S) 특징부 유형에 특히 적당하다.
신규 조성물은 또한 도 3에 도시된 이중 패턴화 공정에 사용될 수 있다. 본 발명은, 예를 들어 도 3에 도시된 바와 같이 이중 패턴화 공정에 사용되었을 때, 통상의 리소그래피 기법, 예컨대 UV 리소그래피(450 nm∼10 nm), 액침 리소그래피, EUV 또는 e-빔에 의해 제조된 표적화된 특징부의 해상도 또는 CD 균일성에 있어 추가의 향상을 유도한다.
이러한 이중 패턴화 공정에서, 제1 포토레지스트 패턴, 예컨대 화학 증폭된 포토레지스트를, 통상의 리소그래피 기법, 예컨대 포토리소그래피를 사용하여 발생시킨다. 이후 신규한 중합체 열산 발생제 조성물을 포토레지스트 패턴 위에 코팅하고, 여기에 PAB를 실시한다. PAB는, 이면의 포토레지스트 패턴의 얇은 층으로 확산되고 이 층을 탈보호하는 신규 열산 발생제를 활성화한다. 물로 패턴을 현상하여 패턴 위에 코팅을 남긴 후, 수성 염기 용해성 기를 보호하는 산 불안정성 기 함유 중합체를 포함하는 제2 코팅 조성물을 신중하게 오버코팅하고 포토레지스트 패턴의 상부를 커버하는 일 없이 오리지날 패턴에서 오직 트랜치(trench)만이 충전되도록 처리한다. 이러한 제2 코팅은 제1 포토레지스트 패턴을 용해시키지 않는 적당한 스핀 캐스팅 용매(들)를 포함한다. 적당한 스핀 캐스팅 용매는 C4-C8 알킬 아세테이트, C8-C12 알칸, C4-C8 알콜, 및 혼화성인 이의 혼합물로 이루어진 군에서 선택될 수 있다. 후속 PAB는 코팅된 포토레지스트 패턴과 제2 코팅 사이에 계면을 생성하고 여기서 제2 코팅 재료의 얇은 층은 제1 포토레지스트 패턴의 표면으로부터 확산된 열산 발생제에 의해 탈보호된다. 이후 둘 사이의 계면에서 제1 포토레지스트 패턴 및 제2 코팅으로부터의 이렇게 탈보호된 재료를, 수성 염기 현상제를 사용하여 제거함으로써 이중 패턴화를 생성한다(도 3). 제2 코팅이 오리지날 라인의 상부를 커버하는 경우, 건식 에칭 백(etch back)이 적용될 수 있거나, 또는 화학적 기계적 연마가 이용되어 그 층을 제거할 수 있다. 경우에 따라, 오리지날 라인의 상부를 커버하는 이러한 층을 습식 에칭을 이용하여 제거할 수 있고, 이는 단지 상기 중합체 열산 발생제 수성 조성물의 또다른 층을 오버 코팅하고, 소성하고 PAB 동안 활성화된 중합체 열산 발생제와 반응한, 오리지날 라인의 상부를 커버하는 층을 현상함으로써 구현될 수 있다.
상기 언급된 이중 패턴화의 변법으로서, 제2 코팅 조성물을, 산 불안정성 기를 보유하지 않고 수성 염기에 불용성인 중합체로 대체할 수 있다. 단, 제2 코팅 조성물 중합체는, 상기 기술된 바와 같이 제1 포토레지스트 패턴을 용해시키지 않는 스핀 캐스팅 용매 중에 용해성이 있다. 이 경우, 이중 패턴화는 단지 제1 포토레지스트 패턴 표면으로부터 탈보호된 얇은 층을 제거함으로써 구현된다.
상기 언급된 이중 패턴화 공정의 추가 변법으로서, 제2 코팅 조성물을, 수성 알칼리 현상제, 예컨대 테트라메틸암모늄 히드록시드(TMAH)에 의해 조절된 용해율을 갖는 중합체로 대체할 수 있다. 이러한 접근은 패턴을 커버하고 플라즈마 또는 이 단계를 달성하기 위한 화학적 기게적 연마에 대한 필요성을 제거하는 제2 코팅 조성물 재료의 용이한 제거를 허용한다. 또한, 이 제2 코팅 용매는 상기 기술된 캡핑된 포토레지스트 패턴을 파괴하지 않는 용매 중에 용해되어야 한다. 이 경우, 이중 패턴화는, 중합체 PAG의 확산으로 인한 제1 포토레지스트 패턴 주변의 탈보호된 얇은 층, 및 이 재료의 고유의 수성 염기 용해성으로 인한 상기 층 옆의 제2 재료의 일부 둘다를 제거함으로써 구현된다. 수성 염기 현상제 중에 조절된 용해율을 갖는 중합체의 예는 디아조나프타퀴논계 포지티브 톤 365 nm 및 436 nm 포토레지스트에 사용된 바와 같은 노볼락 수지이다.
또한 신규 중합체 열산 발생제 조성물을 사용하여 도 4에 도시된 리소그래픽 이미지 반전(LIR)을 실현할 수 있다. 이러한 접근에서, 우선, 염기 용해성 모이어티를 보호하는 산 불안정성 기를 갖는 중합체, 및 광산 발생제를 포함하는 화학 증폭된 포지티브 포토레지스트 재료에 의해 통상의 리소그래피 기법, 예컨대 포토리소그래피를 사용하여 포토레지스트 패턴을 생성한다. 이후, 신규 중합체 열산 발생제 수성 조성물을 포토레지스트 패턴 위에 코팅한 후 PAB를 실시하여 신규 열산 발생제를 활성화한다. PAB 온도 및 시간은 각각 활성화된 산 발생제가 중합체 열산 발생제로 코팅된 포토레지스트 패턴의 산 불안정성 기로 확산되고 이를 탈보호하기에 충분히 높고(예, 130℃∼180℃) 충분히 길게(예, 30∼120초) 선택된다.
과잉 열산 발생제 코팅을 제거하기 위해 물 현상 후, 패턴의 상부 상에 임의의 제2 재료를 남기는 일 없이 트랜치만이 충전되도록 하는 공정으로 제2 재료를 오버코팅한다. 이러한 제2 재료는 탈보호된 산 불안정성 기로 이면의 패턴을 파괴하지 않는 스핀 캐스팅 용매를 포함한다. 적당한 스핀 캐스팅 용매(들)는 C4-C8 알킬 아세테이트, C8-C12 알칸, C4-C8 알콜, 및 혼화성인 이의 혼합물로 이루어진 군에서 선택될 수 있다. 수성 알칼리 현상제, 예컨대 TMAH에 의한 현상 후, 오리지날 포토레지스트 패턴을 현상하여 제2 코팅 재료에 의해 형성된 패턴 뒤에 남겨지도록 한다. 이에 따라 오리지날 라인은 트랜치가 되고 오리지날 트랜치는 라인이 된다. 이 경우, 제2 재료는 수성 염기 현상제에 불용성이지만 상기 기술된 적당한 스핀 캐스팅 용매에 가용성인 임의의 중합체일 수 있다. 제2 재료가 오리지날 라인의 상부를 커버하는 경우, 건식 에칭 백을 수행할 수 있거나 또는 화학적 기계적 연마를 이용하여 수성 염기 현상 전에 이를 제거할 수 있다. 제2 재료는 산 불안정성 기를 포함하는 중합체일 수 있다. 제2 재료가 산 불안정성 기를 포함하는 중합체이고 오리지날 포토레지스트 라인이 이 재료에 의해 커버되는 경우, 습식 에칭이 라인의 상부 상의 재료를 제거하는 데 이용될 수 있다. 하나의 그러한 습식 에칭 백은 단지 신규 중합체 열산 발생제 조성물의 또다른 층을 오버코팅하고 PAB 및 수성 염기 현상의 적용에 의한 것일 수 있다.
본 발명은 하나 이상의 수용성 중합체 결합 열산 발생제를 포함하는, 포토레지스트 특징부를 위한 코팅 조성물에 관한 것이다. 하나 이상의 중합체 결합 열산 발생제 또는 하나 이상의 열산 발생제를 함유하는 중합체가 본 발명의 신규 조성물로 사용될 수 있다.
제2 코팅 조성물이 산 불안정성 기를 함유하는 중합체인 공정에서, 화학 증폭된 포지티브 포토레지스트에 사용되는 임의의 중합체가 사용될 수 있다. 예로서, 그러한 조성물 및 중합체가 US 2009/0081589 A1에 기술되어 있다. 산 불안정성 기 차폐 및 산 불안정성 모이어티를 함유하는 중합체(PAL)는 다음에서 선택될 수 있다:
(1) (i) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트가 디블로킹(deblocking)에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체, 및 (ii) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성되는 반응 생성물;
(2) (i) 노볼락 중합체, (ii) 2∼7개의 페놀 기를 갖는 폴리히드록시 화합물, 및 (iii) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성되는 반응 생성물;
(3) (i) 노볼락 중합체, (ii) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트가 디블로킹에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체, 및 (iii) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성되는 반응 생성물;
(4) (i) (a) 노볼락 중합체, (b) 2∼7개의 페놀 기를 갖는 폴리히드록시 화합물, 및 (c) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성되는 반응 생성물, 및 (ii) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트가 디블로킹에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체의 혼합물; 및
(5) (i) (a) 노볼락 중합체, (b) 2∼7개의 페놀 기를 갖는 폴리히드록시 화합물, 및 (c) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성되는 반응 생성물, (ii) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트가 디블로킹에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체, 및 (iii) 노볼락의 혼합물.
중합체 열산 발생제에 의한 코팅 공정 및 PAB가 실시된, 처리된 제1 포토레지스트 패턴 상에 PAL 조성물을 코팅하는 데 사용되는 적당한 스핀 캐스팅 용매는, 이렇게 처리된 제1 포토레지스트 패턴을 용해시키지 말아야 한다. 적당한 스핀 캐스팅 용매는 C4-C8 알킬 아세테이트, C8-C12 알칸, C4-C8 알콜, 및 혼화성인 이의 혼합물로 이루어진 군에서 선택될 수 있다. 적당한 용매의 구체적 예로는 부틸 아세테이트, 프로필 아세테이트, 펜틸 아세테이트, 4-메틸-2-펜탄올, 펜탄올, 및 헥산올이 있다.
상기 기술된 재료 이외에, 구입 가능한 화학 증폭된 포지티브 레지스트 수지는 또한 PAL 재료로도 사용될 수 있다. 이는 후속적으로 기술된 바와 같이 동일한 기에서 독립적으로 선택될 수 있고, 적절한 경우 초기 레지스트 패턴, 예컨대 i-라인, g-라인, 248 nm, 193 nm, 157 nm, EUV 또는 e-빔 또는 다른 에너지 빔 노광을 위해 디자인된 것을 형성하기 위해 선택된다.
산 불안정성 기 함유 중합체(PAL)를 포함하는 제2 코팅 또는 대안적으로 화학 증폭된 포지티브 포토레지스트의 것의 필름 두께는 50∼2000 nm의 범위일 수 있다.
앞서 기술된 바와 같이, 제1 레지스트 패턴을 용해시키지 않는 스핀 캐스팅 중에 용해되는 산 불안정성 기 차폐 수성 염기 용해성 모이어티를 함유하는 중합체(PAL)로 코팅된 캡핑된 포토레지스트 패턴에 PAB를 실시하고, 이에 의해 코팅 재료와 캡핑된 포토레지스트 패턴의 반응을 유도한다. 이것은 이후 수성 염기 현상제, 예컨대 TMAH에 의해 현상되어 이중 패턴화를 생성한다.
중합체 열산 발생제 조성물을 사용하여 상기 기술된 바와 같이 생성된 이중 패턴화된 특징부는 동일한 중합체 열산 발생제 조성물을 사용하여 상기 기술된 트리밍 공정에 의해 추가로 향상될 수 있다.
중합체 열산 발생제 조성물을 사용하여 상기 기술된 바와 같이 생성된 이중 패턴화된 특징부는 금속 스퍼터링/리프트 오프(lift-off)와 같은 다른 공정에 의해 추가로 향상될 수 있다.
제1 리소그래피 패턴을 형성하는 데 사용되는 화학 증폭된 포지티브 포토레지스트는 436 nm, 365 nm, 248 nm, 193 nm, 157 nm, EUV 또는 e-빔 또는 다른 에너지 빔 노광을 위해 디자인된 임의의 유형의 것일 수 있다.
구체적으로는, 화학 증폭된 포토레지스트로부터 포지티브 패턴을 형성하기 위해, 지금까지, 소형화에 있어 상당한 발전을 제공한 여러 가지 주요 원 자외선(uv) 노광 기술이 존재하며, 이러한 방사선은 248 nm, 193 nm, 157 및 13.5 nm가 있다. 248 nm를 위한 포토레지스트는 통상 치환된 폴리히드록시스티렌 및 이의 공중합체/오늄 염, 예컨대 US 4,491,628 및 US 5,350,660에 기술된 것을 기초로 한 것이다. 한편, 193 nm 및 157 nm에서의 노광을 위한 포토레지스트는 비-방향족 중합체를 필요로 하는데 그 이유는 방향족이 상기 파장에서 불투명하기 때문이다. US 5,843,624 및 US 6,866,984에는 193 nm 노광에 유용한 포토레지스트가 개시된다. 일반적으로, 지환족 탄화수소를 함유하는 중합체는 200 nm 미만에서 노광을 위한 포토레지스트에 사용된다. 지환족 탄화수소는 다수의 이유로 중합체에 혼입되는데, 그 이유는 주로 이들이 내에칭성을 향상시키는 비교적 높은 탄소 대 수소 비율을 갖고, 또한 낮은 파장에서 투명도를 제공하며 비교적 높은 유리 전이 온도를 갖기 때문이다. US 5,843,624에는 말레산 무수물 및 불포화된 환식 단량체의 자유 라디칼 중합에 의해 수득되는 포토레지스트를 위한 중합체가 개시된다. 임의의 공지된 유형의 193 nm 포토레지스트, 예컨대 US 6,447,980 및 US 6,723,488에 기술된 것이 사용되며, 본원에 참고 인용될 수 있다. 157 nm에 감광성이고, 펜던트 플루오로알콜 기를 갖는 플루오르화된 중합체를 기초로 하는 두개의 기본 부류의 포토레지스트가, 상기 파장에서 실질적으로 투명한 것으로 알려져 있다. 한 부류의 157 nm 플루오로알콜 포토레지스트는 플루오르화된-노르보르넨과 같은 기를 함유하는 중합체로부터 유도되고, 금속 촉매 또는 라디칼 중합을 이용하여 다른 투명한 단량체, 예컨대 테트라플루오로에틸렌에 의해 단독중합 또는 공중합(US 6,790,587, 및 US 6,849,377)된다. 일반적으로, 이러한 재료는 더 높은 흡광성을 제공하지만 높은 지환족 함량으로 인해 우수한 플라즈마 내에칭성을 갖는다. 보다 최근에는, 157 nm 플루오로알콜 중합체 부류는, 중합체 골격이 비대칭 디엔, 예컨대 1,1,2,3,3-펜타플루오로-4-트리플루오로메틸-4-히드록시-1,6-헵타디엔의 시클로중합(US 6,818,258), 또는 플루오로디엔과 올레핀의 공중합(US 6,916,590)으로부터 유도되는 것이 기술되었다. 이러한 재료는 157 nm에서 허용가능한 흡광도를 제공하지만, 플루오로-노르보르넨 중합체와 비교하였을 때 더 낮은 지환족 함량으로 인해, 더 낮은 플라즈마 내에칭성을 갖는다. 이러한 두 부류의 중합체는 종종 블렌딩되어 제1 중합체 유형의 높은 내에칭성과 제2 중합체 유형의 157 nm에서의 높은 투명도 간의 균형을 제공할 수 있다. 13.5 nm의 극자외선(EUV)을 흡광하는 포토레지스트가 또한 유용하며 당업계에 공지되어 있고 이로부터 생성된 패턴이 또한 사용될 수 있다. 포지티브 화학 증폭된 e-빔 리소그래피 레지스트의 노광으로부터 생성된 패턴이 또한 사용될 수 있다.
193 nm 화학 증폭된 포지티브 레지스트의 구체적 예로는 AZ® Electronic Materials(미국 뉴저지주 소머빌 소재)을 통해 입수가능한 AZ® AX3110p, 및 AZ® AX2110p가 있다.
또다른 구체예에서, 향상된 해상도를 갖는 패턴 및/또는 이중 패턴화된 특징부를 우선 앞서 기술된 바와 같이 신규 중합체 열산 발생제 조성물을 사용하여 발생시키고, 결국, 이 패턴을 이후 그래포에피택시 또는 케모에피택시 방향성 자가 조립(DSA) 공정에서 가이딩 패턴으로 사용하여 하기 더욱 상세하게 기술되는 바와 같이 해상도를 추가로 향상시킨다.
상기 공정은 기판 위에 중성 층을 형성하는 단계; 중성 층으로 코팅된 기판 상에 제1 포토레지스트를 사용하여 복수의 제1 마스크 패턴을 형성하는 단계; 중합체 열산 발생제를 포함하는 신규 조성물을 도포함으로써 캡핑된 필름을 형성하여, 소성하여 중합체 열산 발생제를 활성화시키고 제1 포토레지스트 패턴 내에 확산시킨 후, 미반응된 중합체 열산 발생제를 제거 용액으로 제거하는 단계; 캡핑된 필름 상에 제2 마스크 층을 형성하여 제2 조성물로 스핀 코팅함으로써 제1 패턴을 충전하는 단계를 포함한다. 제2 조성물은, 앞서 기술된 바와 같이, 제1 레지스트 패턴을 용해시키지 않는 스핀 캐스팅에서 용해되는 수성 알칼리 현상제(예, TMAH)에 불용성인 중합체일 수 있다. 제2 조성물은, 제1 포토레지스트에서 라인의 상부 상에 임의의 제2 재료를 남겨두는 일 없이 제1 포토레지스트에 오직 트랜치만이 충전되도록 하는 방식으로 코팅될 수 있다. 소성 후, 수성 염기 현상제를 도포하여 제1 포토레지스트 패턴 주변에 탈보호된 얇은 층을 현상함으로써 이중 패턴화를 유도할 수 있다. 제2 조성물이 제1 포토레지스트 패턴에서 라인의 상부를 커버하는 경우, 플라즈마 에칭 백 또는 화학적 기계적 연마가 TMAH 현상 전 상부 층을 제거하는 데 사용될 수 있다.
도포된 제2 조성물은 적당한 스핀 캐스팅 용매이고 또한 수성 알칼리 현상제, 예컨대 TMAH에서 조절된 용해율을 갖는 중합체일 수 있다. 이 경우, 수성 현상이 직접 적용되어 라인과 트랜치 영역을 커버하는 상부 층을 제거할 수 있다. 제1 포토레지스트 패턴 주변에서 탈보호된 얇은 층을 또한 제거하여, 이중 패턴화된 특징부를 유도한다.
캡핑된 제1 포토레지스트 패턴 위에서, 앞서 기술된 바와 같이, 제1 레지스트 패턴을 용해시키지 않는 스핀 캐스팅에 용해되는, 도포된 제2 차폐 조성물은 추가로 산 불안정성 기를 함유하는 중합체일 수 있다. 소성시, 제1 포토레지스트 패턴에서 중합체 열산 발생제가 확산되어 제2 차폐 층의 이웃 영역을 탈보호한다. 수성 알칼리 현상제, 예컨대 TMAH는 탈보호된 제1 포토레지스트와 탈보호된 제2 차폐 재료의 인접한 얇은 층을 제거하여, 이중 패턴화된 특징부를 유도한다. 수성 염기 현상 전, 제2 마스크 층이 제1 포토레지스트 패턴에서 라인과 트랜치 영역을 커버하는 경우 건식 플라즈마 에칭 백 또는 습식 에칭 백을 필요로 할 수 있다.
이중 패턴화된 특징부가 상기 언급된 공정을 이용하여 생성된 후, 도 2에 도시된 바와 같이 상기 언급된 신규 중합체 열산 발생제 조성물을 사용하여 CD를 향상시키기 위해 트리밍 공정이 추가로 적용될 수 있다.
상기 기술된 공정에 의해 중성 층 코팅된 기판 위에 생성된 이중 패턴화된 특징부는 블럭 공중합체의 방향성 자가 조립을 위해 가이딩 패턴으로서 직접 사용될 수 있다.
상기 기술된 공정에 의해 중성 층 코팅된 기판 위에 생성된 이중 패턴화된 특징부는 블럭 공중합체의 방향성 자가 조립을 적용하기 전 후속 공정에 의해 추가로 향상될 수 있다.
본 발명에 의해 가능하게 되는 또다른 공정에서, 중성 층 코팅된 기판 위의 이중 패턴화된 특징부는 구조식 2의 중합체 열산 발생제 또는 이의 후속 기술된 공중합체를 사용하여 만들어질 수 있다. 이후, 이중 패턴화된 특징부의 좁은 트랜치를 경질 마스크 재료로 충전한다. 후속 선택적 플라즈마 에칭은 중성 표면 위에 넓은 트랜치를 갖는 좁은 라인을 생성한다. 이러한 패턴은 블럭 공중합체의 방향성 자가 조립에 사용되어 치밀한 라인을 실현한다. 상기 공정은 a) 기판 상에 중성 층을 형성하는 단계; b) 포토리소그래피에 의해 중성 층 코팅된 기판 상에 복수의 제1 포토레지스트 패턴을 형성하는 단계; c) 수용액으로부터 중합체 열산 발생제를 도포하고 소성함으로써 제1 캡핑된 필름을 형성한 후, 수성 세척하여 구조식 2의 중합체 열산 발생제 또는 이의 후속 기술된 공중합체 재료를 제거하는 단계; d) 산 불안정성 기를 함유하는 중합체를 포함하는 조성물로 캡핑 필름 상에 제2 마스크 층을 형성하는 단계; e) 필름을 가열하고 중합체 열산 발생제 캡핑 필름으로부터 얻어진 산을 제2 마스크 층으로 확산시킴으로써 제2 마스크 층 내에 제1 및 제2 캡핑 필름으로부터 연장된 복수의 산이 확산된 영역을 형성하는 단계; f) 중합체 열산 발생제 조성물을 다시 오버코팅하고 소성하는 단계; g) 수성 염기 현상제(예, TMAH)를 사용하여 이중 패턴화된 특징부를 형성함으로써 상부 층 및 제1 포토레지스트와 제2 캡핑 층 사이의 탈보호된 영역을 제거하는 단계; h) 경질 마스크 층을 증착시키고/시키거나 스핀 코팅하는 단계; i) 건식 플라즈마 에칭 및/또는 적당한 습식 에칭에 의해 라인을 커버하는 상부 경질 마스크 층을 제거하는 단계; j) 용매 중에 용해시킴으로써 이중 패턴화된 특징부에서 오리지날 라인을 제거하여 좁은 라인 경질 마스크 패턴을 형성하는 단계; k) 블럭 공중합체를 적용하여 코팅을 형성하는 단계; l) 블럭 공중합체를 열적으로 어닐링하여 (j)에서 생성된 캡핑된 특징부를 사용함으로써 방향성 자가 조립이 일어나도록 하여 자가 조립 공정을 가이드하는 단계를 포함한다.
상기 기술된 공정에 적절한 경질 마스크 재료는 퍼히드록시 폴리실라잔, 알킬 또는 아릴 폴리실라잔 중합체 또는 공중합체, SiN, SiO2, TiO2, SiC, SiN, SiON 또는 규소가 풍부하거나 또는 플라즈마 에칭에 대한 내성이 있는 다른 내화 원소가 풍부한 다른 재료로 이루어진 군에서 선택될 수 있다. 이러한 경질 마스크는 예컨대 화학 증착, 스퍼터 증착을 비롯한 임의의 적당한 방법에 의해 또는 스핀가능한 재료, 비제한적 예로서 폴리실라잔, 실세스퀴옥산, 스핀 온 글래스 등의 사용에 의해 증착될 수 있다.
상기 기술된 공정의 변법으로서, 단계 (i)를 생략할 수 있고 대신에 리프트 오프 공정에 의해 이중 패턴화된 특징부에서 오리지날 라인의 제거를 구현할 수 있다.
상기 기술된 공정에 적절한 기판은 규소, 금속 표면으로 코팅된 규소 기판, 구리 코팅된 규소 웨이퍼, 구리, 알루미늄, 중합체 수지, 이산화규소, 금속, 도핑된 이산화규소, 질화규소, 탄화규소, 탄탈륨, 폴리실리콘, 세라믹, 알루미늄/구리 혼합물, 유리, 코팅된 유리; 비화갈륨 및 다른 상기 III족/V족 화합물을 포함하는 군에서 선택될 수 있다.
상기 기술된 공정에 적절한 블럭 공중합체는 고 에칭률을 갖는 단량체 단위 블럭 및 산소계 플라즈마에서 저 에칭률을 갖는 단량체 단위 블럭을 포함하는 재료로 이루어진 군에서 선택될 수 있다.
임의의 적당한 중성 층 조성물이 사용될 수 있다. 하나의 그러한 조성물은, 층에 형성되었을 때 자가 조립 블럭 공중합체에 중성으로 남게되고 방향성 자가 조립 기법의 처리 단계에 의해 손상되지 않고, 방향성 자가 조립 재료의 리소그래피 성능 및 공정을 추가로 향상시켜, 특히 처리 단계의 수를 감소시키고 예를 들어 본원에 참고 인용되는 US 특허 출원 연속 번호 13/243,640(2011년 9월 23일 출원)에 기술된 우수한 리소그래피 성능을 보다 우수한 패턴 해상도에 제공할 수 있는 중성 층 조성물이고, 이는 구조식 4의 하나 이상의 단위, 구조식 5의 하나 이상의 단위 및 구조식 6의 하나 이상의 단위로 이루어진 랜덤 공중합체를 포함한다:
Figure pct00008
상기 식에서, R'1은 C1-C8 알킬, C1-C8 플루오로알킬, C1-C8 부분 플루오르화된 알킬, C4-C8 시클로알킬 모이어티, C4-C8 시클로플루오로알킬 모이어티, C4-C8 부분 플루오르화된 시클로알킬 모이어티, 및 C2-C8 히드록시알킬로 이루어진 군에서 선택되고; R'2, R'3 및 R'5는 H, C1-C4 알킬, CF3 및 F로 이루어진 군에서 독립적으로 선택되고; R'4는 H, C1-C8 알킬, C1-C8 부분 플루오르화된 알킬 및 C1-C8 플루오로알킬로 이루어진 군에서 선택되고, n은 1∼5의 범위이고, R'6은 H, F, C1-C8 알킬 및 C1-C8 플루오로알킬로 이루어진 군에서 선택되고 m은 1∼3의 범위이다.
중성 층 조성물을 기판 상에 코팅하고 가열하여 용매를 제거하고 필름을 가교결합한다. 통상의 필름 두께는 가열 후 약 3 nm∼약 50 nm, 또는 약 3 nm∼약 30 nm, 또는 약 4 nm∼약 20 nm, 또는 약 5 nm∼약 20 nm, 또는 약 10 nm∼약 20 nm의 범위이다. 필름을 약 180℃∼약 350℃, 또는 약 200℃∼약 300℃ 범위의 온도에서 가열할 수 있다. 일단 가교결합된 필름을 형성하면 추가 처리에 코팅을 사용하여 마지막으로 임의의 방향성 자가 조립 기법을 이용하여 패턴을 형성할 수 있다. 이러한 기법의 예로는 그래포에피택시, 표준 케모에피택시, 피닝(pinning)을 갖는 케모에피택시 등이 있다. 신규 중성 층 조성물에 의해 형성된 가교결합된 중성 층은, 가교결합된 중성 층이 사용되는 리소그래피 공정 동안 일어날 수 있는 임의의 손상, 예컨대 유기 용매로부터의 용해(예, 중성 층 위의 코팅을 형성하는 데 사용되는 용매, 용매 현상제 등), 수성 알칼리 현상제에서의 용해, 중성 층 위에 코팅된 포토레지스트를 이미지화하는 데 사용되는 공정으로부터의 손상(예, e-빔, euv, 원자외선, 등), 또는 포토레지스트 스트리퍼에서의 용해에도 불구하고 중성으로 남게 된다. 가교결합된 층은, 용매, 예컨대 포토레지스트를 코팅하는 데 사용되는 것, 예를 들어 PGMEA, PGME, 에틸 락테이트 등에 용해되지 않는다.
중성 층 조성물과 함께 방향성 자가 조립에 사용하기 위한 블럭 공중합체는 자가 조립을 통해 도메인을 형성할 수 있는 임의의 블럭 공중합체일 수 있다. 마이크로도메인은 스스로 연결되고자 하는 동일한 유형의 블럭에 의해 형성된다. 통상, 이러한 목적으로 사용되는 블럭 공중합체는 단량체로부터 유도된 반복 단위가 조성적으로, 구조적으로 또는 둘다 상이한 블럭으로 배치되고 상 분리 및 도메인 형성을 할 수 있는 중합체이다. 상기 블럭은 표면 상에서 다른 블럭이 손상되지 않도록 하면서 하나의 블럭을 제거하는 데 사용될 수 있는 상이한 특성을 가져서, 표면 상에 패턴을 제공한다. 따라서, 블럭은 수성 알칼리 용액 등을 사용하여 플라즈마 에칭, 용매 에칭, 현상제 에칭에 의해 선택적으로 제거될 수 있다. 유기 단량체를 기초로 하는 블럭 공중합체에서, 하나의 블럭은 폴리디엔을 비롯한 폴리올레핀 단량체, 폴리(알킬렌 옥시드), 예컨대 폴리(에틸렌 옥시드), 폴리(프로필렌 옥시드), 폴리(부틸렌 옥시드) 또는 이의 혼합물을 비롯한 폴리에테르로부터 만들어질 수 있고; 나머지 블럭은 폴리((메타)아크릴레이트), 폴리스티렌, 폴리에스테르, 폴리오르가노실록산, 폴리오르가노거만, 및/또는 이의 혼합물을 비롯한 상이한 단량체로부터 만들어질 수 있다. 중합체 쇄에서 이러한 블럭은 각각 단량체로부터 유도된 하나 이상의 반복 단위를 포함할 수 있다. 필요한 패턴 유형 및 사용된 방법에 따라 상이한 유형의 블럭 공중합체가 사용될 수 있다. 예를 들면, 이들은 2블럭 공중합체, 3블럭 공중합체, 삼원중합체, 또는 다블럭 공중합체로 이루어질 수 있다. 상기 블럭 공중합체의 블럭은 그 자체로 단독중합체 또는 공중합체로 이루어질 수 있다. 상이한 유형의 블럭 공중합체는 또한 자가 조립, 예컨대 수지상 블럭 공중합체, 과분지화된 블럭 공중합체, 그래프트 블럭 공중합체, 유기 2블럭 공중합체, 유기 다블럭 공중합체, 선형 블럭 공중합체, 성상형 블럭 공중합체, 양친매성 무기 블럭 공중합체, 양친매성 유기 블럭 공중합체 또는 적어도 상이한 유형의 블럭 공중합체로 이루어진 혼합물에 사용될 수 있다.
유기 블럭 공중합체의 블럭은 단량체로부터 유도된 반복 단위, 예컨대 C2-30 올레핀, C1-30 알콜에서 유도된 (메타)아크릴레이트 단량체, Si, Ge, Ti, Fe, Al을 기초로 하는 것을 비롯한 무기 함유 단량체를 포함할 수 있다. C2-30 올레핀을 기초로 한 단량체는 높은 내에칭성 블럭 단독으로 구성되거나 또다른 올레핀 단량체와 함께 구성될 수 있다. 이러한 유형의 올레핀 단량체의 구체적 예로는 에틸렌, 프로필렌, 1-부텐, 1,3-부타디엔, 이소프렌, 디히드로피란, 노르보르넨, 말레산 무수물, 스티렌, 4-히드록시 스티렌, 4-아세톡시 스티렌, 4-메틸스티렌, 알파-메틸스티렌 또는 이의 혼합물이 있다. 고도의 에칭성 단위의 예는 (메타)아크릴레이트 단량체, 예컨대 (메타)아크릴레이트, 메틸 (메타)아크릴레이트, 에틸 (메타)아크릴레이트, n-프로필 (메타)아크릴레이트, 이소프로필 (메타)아크릴레이트, n-부틸 (메타)아크릴레이트, 이소부틸 (메타)아크릴레이트, n-펜틸 (메타)아크릴레이트, 이소펜틸 (메타)아크릴레이트, 네오펜틸 (메타)아크릴레이트, n-헥실 (메타)아크릴레이트, 시클로헥실 (메타)아크릴레이트, 이소보르닐 (메타)아크릴레이트, 히드록시에틸 (메타)아크릴레이트 또는 이의 혼합물로부터 유도될 수 있다.
높은 내에칭성 반복 단위의 한 유형을 함유하는 블럭 공중합체의 예시적 예로는 스티렌으로부터 유도된 반복 단위만을 함유하는 폴리스티렌 블럭 및 메틸메타크릴레이트로부터 유도된 반복 단위만을 함유하는 또다른 유형의 고도로 에칭성인 폴리메틸메타크릴레이트 블럭이 있다. 이들은 함께 블럭 공중합체 폴리(스티렌-b-메틸메타크릴레이트)를 형성하며, 이때 b는 블럭을 지칭한다.
패턴화된 중성 층 상에서 방향성 자가 조립에 사용되는 그래포에피택시, 케모에피택시 또는 피닝된 케모에피택시에 유용한 블럭 공중합체의 특별한 비제한적 예는, 폴리(스티렌-b-비닐 피리딘), 폴리(스티렌-b-부타디엔), 폴리(스티렌-b-이소프렌), 폴리(스티렌-b-메틸 메타크릴레이트), 폴리(스티렌-b-알케닐 방향족), 폴리(이소프렌-b-에틸렌 옥시드), 폴리(스티렌-b-(에틸렌-프로필렌)), 폴리(에틸렌 옥시드-b-카프로락톤), 폴리(부타디엔-b-에틸렌 옥시드), 폴리(스티렌-b-t-부틸 (메타)아크릴레이트), 폴리(메틸 메타크릴레이트-b-t-부틸 메타크릴레이트), 폴리(에틸렌 옥시드-b-프로필렌 옥시드), 폴리(스티렌-b-테트라히드로푸란), 폴리(스티렌-b-이소프렌-b-에틸렌 옥시드), 폴리(스티렌-b-디메틸실록산), 폴리(메틸 메타크릴레이트-b-디메틸실록산), 또는 상기 기술된 블럭 공중합체 중 하나 이상을 포함하는 조합이다. 모든 이러한 중합체 재료는 IC 디바이스를 제조하는 데 통상 사용되는 에칭 기법에 내성을 갖는 반복 단위가 풍부한 하나 이상의 블럭 및 그러한 동일 조건 하에서 신속하게 에칭되는 하나 이상의 블럭의 존재 하에서 공통적으로 공유된다. 이것은 방향성 자가 조립된 중합체가 기판 상에의 패턴 전사를 허용하여 패턴 정류(rectification) 또는 패턴 증식(multiplication)을 일으킨다.
통상, 그래포에피택시, 케모에피택시 또는 피닝된 케모에피택시에서와 같이 방향성 자가 조립에 사용되는 블럭 공중합체는 약 3,000∼약 500,000 g/몰 범위의 중량 평균 분자량(Mw) 및 약 1,000∼약 60,000의 수 평균 분자량(Mn) 및 약 1.01∼약 6, 또는 1.01∼약 2 또는 1.01∼약 1.5의 다분산도(Mw/Mn)를 갖는다. 분자량, 즉 Mw 및 Mn은, 예를 들어 폴리스티렌 표준으로 보정하는 보편적 보정 방법을 사용한 겔 투과 크로마토그래피에 의해 측정될 수 있다. 이것은 중합체 블럭이 소정의 표면에 적용되었을 때 자연스럽게, 또는 순수한 열적 처리를 사용함으로써, 또는 자가 조립을 발생시킬 수 있는 분절의 흐름을 증가시키기 위한 용매 증기의 중합체 골격으로의 흡수에 의해 도움을 받는 열적 공정을 통해 자가 조립이 일어나기에 충분한 이동도를 갖도록 보장한다.
필름을 형성하는 블럭 공중합체를 용해시키기에 충분한 용매는 블럭 공중합체의 용해도 요건에 따라 달라질 수 있다. 블럭 공중합체 조립체를 위한 용매의 예는 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA), 에폭시에틸 프로피오네이트, 아니솔, 에틸 락테이트, 2-헵탄온, 시클로헥산온, 아밀 아세테이트, n-부틸 아세테이트, n-아밀 케톤(MAK), 감마-부티로락톤(GBL), 톨루엔 등을 포함한다. 구체예에서, 특별히 유용한 캐스팅 용매는 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA), 감마-부티로락톤(GBL), 또는 상기 용매의 조합을 포함한다.
블럭 공중합체 조성물은 무기물 함유 중합체; 소분자, 무기물 함유 분자, 계면활성제, 광산 발생제, 열산 발생제, 켄쳐, 경화제, 가교결합제, 쇄 연장제 등을 비롯한 첨가제; 및 상기 중 하나 이상을 포함하는 조합으로 이루어진 군에서 선택된 추가의 성분 및/또는 첨가제를 포함할 수 있고, 이때 추가의 성분 및/또는 첨가제 중 하나 이상을 블럭 공중합체와 동시 조립되어 블럭 공중합체 조립체를 형성한다.
상기 공정에 기술된 재료는 앞서 리프트 오프 공정에 기술된 바와 같이 선택될 수 있다.
상기 언급된 각각의 문헌들은 사실상 그 전문이 본원에 참고 인용된다. 하기 특정한 예는 본 발명의 조성물을 제조하고 이용하는 방법의 상세한 예시를 제공한다. 하지만, 이러한 예시는 어떤 방식으로도 본 발명의 범위를 제한하거나 한정하려는 의도가 아니며 본 발명을 실시하기 위해서만 이용되어야 하는 제공 조건, 파라미터 또는 값으로서 이해되어서는 안된다.
실시예
중합체 열산 발생제(합성예 2) 및 이의 전구체 중합체(합성예 1)의 분자량을, Water 2695 Alliance Separation Module, 또는 Waters Dual Wavelength UV Detector, Model 2487이 장착된 등가물, 또는 등가물 및 Waters Differential Refractometer, Model 2414, 검출기 등가물로 겔 투과 상에서 측정하였다. 합성예 3의 중합체의 분자량을 Water 2695 Alliance Separation Module, 또는 Waters Dual Wavelength UV Detector, Model 2487이 장착된 등가물, 또는 등가물 및 Waters Differential Refractometer, Model 2414, 검출기 등가물을 사용하여 겔 투과 크로마토그래피 상에서 측정하였다. PGMEA에서의 중합체의 용해도 측정 및 Thermogravametric 분석 측정을 실시예에 기술하였다.
모든 화학물질은 달리 언급되지 않는 한 Sigma-Aldrich Co로부터 입수하였다.
합성예 1 (아미노 함유 중합체): 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈)의 합성
Figure pct00009
N,N-디메틸아미노에틸아크릴레이트(DMAEA)(25.70 g, 0.1795 몰), N-비닐피롤리돈(NVP)(19.95 g, 0.1795 몰), 6.85 g의 개시제, 아조-비스이소부티로니트릴(AIBN) 및 97.50 g의 아세토니트릴의 혼합물을 물 응축기 질소 유입구가 구비된 500 ml 둥근 바닥 플라스크에 첨가하였다. 개시제 농도는 단량체의 총 중량에 비해 15 중량%였다. 다른 용매, 예컨대 이소프로필알콜(IPA), 2-부탄온 및 메탄올을 아세토니트릴 대신에 사용할 수도 있다. 질소 가스를 실온에서 교반하면서 30분 동안 용액에 퍼징하였다. 질소 퍼징 후, 반응 용액을 65℃로 가열하였다. 중합 반응을 6시간 동안 수행하였다. 중합 완료 후, 중합체 용액을 30℃로 냉각시키고 회전식 증발기를 사용하여 농축시켰다. 농축된 용액을 디에틸 에테르 중에 침전시켰다. 다른 용매, 예컨대 디이소프로필 에테르 및 tert-부틸메틸 에테르를 또한 사용할 수 있다. 사용된 침전 용매의 양은 초기 반응 부피의 것의 7배였다. 최종 공중합체를 40℃에서 진공 건조하고 그 수율은 70%였다. 공중합체를 NMR, GPC에 의해 특성화하였다. 중합체의 중량 평균 분자량은 24,832(Mw)이고 다분산도는 4.0이었다.
합성예 2 (설폰산 중화된 아미노 중합체): 단리된 재료로서의 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 파라-톨루엔설폰산 염의 합성.
실시예 1에서와 같이 제조된 123.6 g의 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈)을 교반 하에 428 g의 아세토니트릴 중에 용해시켰다. 117 g의 p-톨루엔설폰산 모노히드레이트(PTSA)를 약 720 g의 아세토니트릴 중에 용해시키고 여과하여 투명한 용액을 얻었다. PTSA 용액을 교반 하에 중합체 용액에 서서히 첨가하였다. 첨가 후, 용액을 실온에서 18시간 동안 교반하였다. 그리고나서 반응 혼합물을 약 50 g의 메탄올로 희석하고 필터지에 여과시켰다. 40℃에서 회전식 증발기를 사용하여 용액을 약 1.5 리터로 농축시켰다. 교반 하에, 약 4리터의 디에틸 에테르를 이러한 중합체 용액에 서서히 첨가하여 백색 중합체 검을 얻었다. 중합체를 교반하면서 새로운 디에틸 에테르로 2회 세척하였다. 중합체를 약 1리터의 메탄올 중에 재용해시키고 상기 기술된 동일 절차 후 디에틸 에테르 중에 침전시킴으로써 추가로 정제하였다. 마지막으로, 중합체를 일정 중량이 될 때까지 50℃ 진공 하에 건조하였다. 약 165 g의 중합체를 얻었다.
중합체의 중량 평균 분자량은 44587(Mw)이고 다분산도는 8.5였다. 또한 C-13 NMR를 사용하여 중합체를 특성화함으로써 구조식 7에 배정된 바와 같이 최종 생성물에서의 예상 탄소의 존재를 확인하였다: 탄소 17 (142.8 ppm); 탄소 16 (140.5 ppm); 탄소 15 (21.2 ppm); 탄소 14 (130.1 ppm); 탄소 13 (126.0 ppm); 탄소 12 (178.8 ppm); 탄소 11 (175.9 ppm); 탄소 10 (35.0 ppm); 탄소 9 (45.1 ppm); 탄소 8 (43.7 ppm); 탄소 7 (56.1 ppm); 탄소 6 (59.8 ppm); 탄소 5 (35.0 ppm); 탄소 4 (45.5 ppm); 탄소 3 (31.9 ppm); 탄소 2 (18.2 pm); 탄소 1(45.8 ppm).
Figure pct00010
합성예 3 (산 불안정성 기 함유 중합체)
1073.5 gm SPN 560 노볼락(CYTEC Chemical Co.)(PGMEA 중 47.3 % 고체)과 조합된 1821.6 gm CZ-6 중합체(Dupont Chemical Co.) 용액(PGMEA 중 41.32% 고체)으로 이루어진 반응 혼합물을, 우수한 교반기, 가열 맨틀, 온도계, 온도 조절기, 응축기 및 압력 게이지 모니터가 구비된 5리터 5구 플라스크에 배치하고, 우수한 교반에 의해 2시간 내 실온에서 120℃로 서서히 가열하였다. 120℃에서 반응시, 100 gm PGMEA 중에 용해된 114 gm의 에틸 비닐 에테르(EVE)를 교반된 반응 혼합물에 서서히 첨가하면서 과도한 압력 누적을 피하면서(즉, 5 lb/in2을 초과하지 않으면서) 첨가율을 조절하는 반응기에서 압력 누적을 모니터링하였다. 첨가가 완료된 후, 교반된 반응 혼합물을 3시간 이상 동안 120℃에서 유지하였다. 이 시간 후, 일부 용매와 함께 잔류 EVE를 희석하고 반응 혼합물을 실온으로 냉각시켰다. 반응 용액의 최종 고체 함량은 약 45.6 중량%였다. PAL 중합체는 다음과 같은 용해율에서의 변화에 의해 특성화되었다: SPN-560 노볼락의 초기 용해율은 1,000 Å/S 초과(1,200∼1,650)가 되도록 선택되지만 CZ-6(DuPont 공중합체 6 HS/4 t-BA)의 용해율은 약 27∼30 Å/S이어서, 이러한 블렌드를 위한 반응 전 용해율이 600 - 200 Å/S였다. 반응 후 EVE로 작용화된 블렌드는 2 Å/S 미만(통상 약 1.3)의 용해율을 가졌다.
리소그래피 예시 1 라인 캡핑 후 라인 트리밍
"합성예 2"에 기술된 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 토식산 염(4 g) 및 0.0005 g의 계면활성제(Pionion SF-485)를 96 g의 탈이온수 중에 용해시켰다. 제제를 12시간 동안 혼합하여 균질한 용액을 형성하고 0.2 미크론 PTFE 필터에 여과시켰다.
반사 방지 코팅, AZ® ArF-1C5D를, 베어 실리콘 웨이퍼(bare silicon wafer) 상에서 스핀 코팅한 후 200℃에서 60초 동안 소성시켜 37 nm 두께의 필름을 형성하였다. 포토레지스트 AZ® AX2110P를 스택 상에서 스핀 코팅하고 60초 동안 110℃에서 소성하여 120 nm 필름을 얻었다. 그리고나서 이러한 필름 스택을 193 nm Nikon 306D 스캐너에 노광시켰다. 60초 동안 110℃에서 노광후 소성을 적용하였다. 이후 웨이퍼를 AZ® MIF300(AZ electronic Materials에서 입수 가능, 미국 뉴저지주 소머빌 소재)에 의해 30초 동안 현상하여 80 nm 라인/공간 특징부를 생성하였다.
이후 이러한 리소그래피 특징부를 상기 제조된 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 토식산 염 용액으로 스핀 코팅하고, 60분 동안 120℃에서 소성하여 50 nm의 오버코트를 형성하였다. 그리고나서 웨이퍼를 30초 동안 AZ MIF300으로 현상하였다. 이러한 현상 후, 라인 폭은 72 nm로 감소하였다.
리소그래피 예시 2: LIR 공정
"합성예 2"에 기술된 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 토식산 염(4 g) 및 0.0005 g의 계면활성제(Pionion SF-485)를 96 g의 탈 이온수 중에 용해시켰다. 제제를 12시간 동안 혼합하여 균질한 용액을 형성하고 0.2 미크론 PTFE 필터에 여과시켰다.
바닥부 반사방지 코팅 재료(B.A.R.C), AZ® ArF-1C5D(AZ® EM USA Corp에 의해 제조됨, 미국 뉴저지주 소머빌 마이스터 애비뉴 70 소재)를, 규소 기판 상에 스핀 코팅하고 60초 동안 200℃에서 소성하여 37 nm 두께의 반사 방지 코팅 층을 제조하였다. 그리고나서, AZ® AX3110P 포토레지스트 용액(AZEM USA Corps에 의해 제조됨, 미국 뉴저지주 소머빌 마이스터 애비뉴 70 소재)을 바닥부 반사방지 코팅된(B.A.R.C) 규소 기판 상에 스핀 코팅하였다. 이후 포토레지스트 필름을 60초 동안 100℃에서 소성하여 120 nm의 필름 두께를 형성하였다. 193 nm에서 노광 후, 60초 동안 110℃에서 웨이퍼를 노광후 소성하고 30초 동안 AZ®626 MIF 현상제(AZEM USA Corps에 의해 제조됨, 미국 뉴저지주 소머빌 마이스터 애비뉴 70 소재)를 사용하여 현상함으로써 단리된 40∼52 nm 라인을 형성하였다.
이후 생성된 포토레지스트 패턴을 상기 제조된 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 토식산 염 용액으로 오버코팅하고, 60초 동안 130℃에서 소성하고, 30초 동안 DI수로 세척하였다.
3.6 중량%의 합성예 3 중합체를 4-메틸-2-펜탄올 중에 중합체를 용해시킴으로써 제조하고 0.2 미크론 PTFE 필터에 여과시켰다. 이 용액을 상기 리소그래피 특징부 상에 스핀 캐스팅하여 80 nm 두께 필름을 형성하고, 60초 동안 100℃에서 소프트 소성하여 코팅된 필름의 용매를 제거한 후 60초 동안 120℃에서 소성하였다.
1.32 g의 피리디늄 p-톨루엔설포네이트를 298.7 g의 4.2 중량% 폴리비닐 알콜 수용액 중에 용해시키고 0.2 미크론 Nylon 필터에 여과시켰다. 이 용액을 상기 웨이퍼 상에 스핀 캐스팅하여 90 nm 필름을 형성하고 이를 60초 동안 120℃에서 소성하였다. 마지막으로, 2.38 중량% TMAH 현상제를 사용하여 45초 동안 웨이퍼를 현상함으로써 24∼32 nm의 이미지 반전된 좁은 트랜치를 형성하였다.
리소그래피 예시 3: 중합체 열산 발생제 조성물을 사용한 이중 패턴화 공정
단계 1 제1 포토레지스트 패턴
레지스트 AZ® SZF-153을 1.05 ㎛ 두께 필름으로서 10 nm AZ® Experimental NLD-175 중성 층으로 앞서 코팅된 6 인치 Si 웨이퍼 상에 코팅하였다. 그리고나서 이 필름을 110℃/90초에서 소프트 소성하고, 50 mJ/cm2에서 365 nm 스테퍼(stepper)에 노광하고, 110℃/120초에서 노광후 소성하고, 마지막으로 2.38 중량% 테트라메틸암모늄 히드록시드(TMAH) 용액으로 60초 동안 현상하여 841 nm/908 nm L/S 특징부를 생성하였다.
단계 2 "합성예 2"의 중합체 TAG 처리
합성예 2에서 제조된 중합체 10 중량% 용액을 수중에 중합체를 용해시킴으로써 제조하였다. 계면활성제 SF-485를 첨가하여 계면활성제 함량 500 ppm을 만들었다. 이 용액을 300 rpm/30초에서 단계 1에서 제조된 리소그래피 패턴 상에 코팅하고 130℃/180초에서 소성하고, 증류수로 60초 동안 세척하여 중합체 TAG의 작용에 의해 처리된 리소그래피 특징부를 생성하였다.
단계 3 산 불안정성 기 함유 중합체 처리
합성예 3에서 제조된 중합체 9 중량% 용액을 PGMEA 중에 중합체를 용해시킴으로써 제조하고 이를 단계 2에서 제조된 캡슐화된 특징부 상에 2000 rpm/2분으로 코팅하고 소성하였다(100℃/90초).
단계 4 중합체 열산 발생제 제2 처리
합성예 2에서 제조된 중합체 5 중량% 용액을 수중에 중합체를 용해시킴으로써 제조하였다. 계면활성제 SF-485를 첨가하여 계면활성제 함량 500 ppm을 만들었다. 이 용액을 300 rpm/30초에서 단계 3으로 제조된 패턴화된 웨이퍼 상에 코팅하고, 이후 필름을 120℃/180초에서 소성하고, 마지막으로 2.38 중량% TMAH로 현상하였다. 이는, 상부의 PAL 중합체가 필름의 상부로부터 제거되고 또한 좁은 트랜치가 오리지날 패턴과, 오리지날 리소그래피 패턴 사이의 잔여 산 불안정성 기 함유 중합체 필름 사이에 생성되어, 이중 패턴화된 특징부를 형성하는 리소그래피 특징부를 생성하였다.
비교 합성예 1: 수용액 중에서 제조되지만 단리되지 않은 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 파라 톨루엔 설포네이트 염의 합성:
단계 1:
Figure pct00011
N,N-디메틸아미노에틸아크릴레이트(DMAEA)(25.70 g, 0.1795 몰), N-비닐피롤리돈(NVP)(19.95 g, 0.1795 몰), 6.85 g의 개시제, 아조-비스이소부티로니트릴(AIBN) 및 97.50 g의 아세토니트릴의 혼합물을 물 응축기 및 질소 유입구가 구비된 500 ml 둥근 바닥 플라스크에 첨가하였다. 개시제 농도는 단량체의 총 중량에 비해 15 중량%였다. 다른 용매, 예컨대 이소프로필알콜(IPA), 2-부탄온 및 메탄올이 또한 아세토니트릴 대신에 사용될 수 있다. 질소 가스를 실온에서 교반 하에 30분 동안 용액 내로 퍼징하였다. 질소 퍼징 후, 반응 용액을 65℃로 가열하였다. 중합 반응을 6시간 동안 수행하였다. 중합 완료 후, 중합체 용액을 30℃로 냉각시키고 회전식 증발기를 사용하여 농축시킴으로써 용매 및 미반응된 단량체를 제거하였다. DI수 중에 제조된 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 10 중량% 용액. Metrohm 716 DMS Titrino(Brinkman Instruments, Inc.)를 사용하여 pH를 측정하였다. 폴리(DMAEA-코-NVP)의 초기 pH는 11이었다.
단계 2:
DI수 중 파라-톨루엔설폰산(pTSA)의 5 중량% 용액을 단계 1에서 제조된 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈)의 10 중량% 용액에 일정한 교반 하에 pH 7.0이 될 때까지 서서히 첨가하였다(100 몰% 첨가된 p-TSA). 목표한 pH가 실현될 때까지 파라-톨루엔설폰산 p-TSA의 5% 용액을 더 서서히 첨가함으로써 유사한 방식으로 테스트를 위해 산성 중합체 용액, pH 5 및 pH 2를 또한 제조하였다. 각각 150 몰% 및 200 몰% p-TSA를 첨가함으로써 pH 5 및 pH 2를 실현하였다.
pH 7.0을 갖는 중합체 용액의 특성화 데이타
13C NMR(CD3OD) 데이타: δ 176.5 ppm (>C=O, NVP) ; δ 175.0 ppm (>C=O, DMAEA); δ62.2 ppm (O-CH2, DMAEA); δ57.6 ppm (>N-CH2, DMAEA); δ 45.3 ppm (-N-CH3, DMAEA); δ 45.2 ppm (-N-CH2, NVP); δ 42.0-34.0 ppm (주쇄 -CH, NVP & DMAEA의 CH2, 오버랩핑됨);δ 32.2 ppm (O=C-CH2, NVP); δ 19.0 ppm (-N-CH2-CH2-, NVP), δ 125.8-129.7 ppm (페닐의 -CH), δ 140-142.5 ppm(pTSA의 4차 탄소) 및 δ 20.4 (pTSA의 -CH3).
폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 파라 톨루엔 설포네이트 염의 용액을, 150 몰% 또는 200 몰% p-TSA를 갖는 용액 중에 중화시킨 경우 용액을 안정화시키지 않았고 정치시 탁해졌다. 하지만, 100 몰% p-TSA가 첨가된 pH 7을 갖는 상응한 용액은 안정하였다. 결과적으로, 단지 100 몰%의 p-TSA가 첨가된 7.0의 pH를 갖는 10 중량% 중합체 용액이 "비교 리소그래피 예시 1"에 사용되었다.
100 몰%의 p-TSA가 첨가된, "비교 합성예 1"에서 제조된 pH 7.0의 용액을 사용하고 500 ppm의 계면활성제 Pionion SF-485를 첨가하고 이 용액을 0.2 미크론 PFTE 필터에 여과시켰다.
비교 리소그래피 예시 1: LIR 공정
비교 합성예 1에 기술된 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 토식산 염을 물로 희석하여 4 중량% 용액을 형성하고 계면활성제(Pionion SF-485)를 첨가하여 500 ppm 용액을 만들고 0.2 미크론 PTFE 필터에 여과시켰다.
바닥부 반사방지 코팅 재료(B.A.R.C), AZ® ArF-1C5D(AZ® EM USA Corp에 의해 제조됨, 미국 뉴저지주 소머빌 마이스터 애비뉴 70 소재)를 규소 기판 상에 스핀 코팅하고 60초 동안 200℃에서 소성하여 37 nm 두께의 반사 방지 코팅 층을 제조하였다. 그리고나서, AZ® AX3110P 포토레지스트 용액(AZEM USA Corps에 의해 제조됨, 미국 뉴저지주 소머빌 마이스터 애비뉴 70 소재)을 바닥부 반사 방지 코팅된 (B.A.R.C) 규소 기판 상에 스핀 코팅하였다. 이후 포토레지스트 필름을 60초 동안 100℃에서 소성하여 120 nm의 필름 두께를 형성하였다. 193 nm에서 노광 후, 웨이퍼를 60초 동안 110℃에서 노광후 소성하고 30초 동안 AZ®626 MIF 현상제(AZEM USA Corps에 의해 제조됨, 미국 뉴저지주 소머빌 마이스터 애비뉴 70 소재)를 사용하여 현상함으로써 단리된 40∼52 nm 라인을 형성하였다.
그리고나서 생성된 포토레지스트 패턴을 상기 제조된 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 토식산 염 용액으로 오버코팅하고, 60초 동안 130℃에서 소성하고, 30초 DI수로 세척하였다.
3.6 중량%의 합성예 3의 중합체를, 4-메틸-2-펜탄올 중에 중합체를 용해시킴으로써 제조하고 0.2 미크론 PTFE 필터에 여과시켰다. 이 용액을 상기 리소그래피 특징부 상에 스핀 캐스팅하여 80 nm 두께 필름을 형성하고, 60초 동안 100℃에서 소프트 소성하여 코팅된 필름에서 용매를 제거한 후, 60초 동안 120℃에서 소성하였다.
1.32 g의 피리디늄 p-톨루엔설포네이트를 298.7 g의 4.2 중량% 폴리비닐 알콜 수용액 중에 용해시키고 0.2 미크론 Nylon 필터에 여과시켰다. 이 용액을 상기 웨이퍼 상에 스핀 캐스팅하여 90 nm 필름을 형성하고 이를 60초 동안 120℃에서 소성하였다. 마지막으로, 45초 동안 2.38 중량% TMAH 현상제를 사용하여 웨이퍼를 현상하였다. 다양한 pH를 갖는 모든 폴리(N,N-디메틸아미노에틸아크릴레이트-코-N-비닐피롤리돈) 토식산 염 용액이 특징부 접착의 손실과 함께 매우 불량한 리소그래픽 이미지 반전을 형성하였다. 비교로서, 합성예 2에 기술된 바와 같이 제조된 단리된 중합체는 특징부의 어떠한 접착 손실의 징후 없이 매우 우수한 LIR을 형성하였다(리소그래피 예시 1: LIR 공정 참조).

Claims (15)

  1. 포토레지스트 패턴 위에 층을 형성할 수 있는 중합체 열산 발생제의 수성 코팅 조성물로서, 수용액이 하기 구조식 2의 하나 이상의 반복 단위를 갖는 중합체를 포함하는 수성 코팅 조성물:
    Figure pct00012

    상기 식에서, R1 내지 R5는 H 및 C1-C6 알킬로 이루어진 군에서 독립적으로 선택되고; R6은 아릴, 치환된 아릴, 알킬 (C1-C8) 및 플루오로알킬 (C1-C8)로 이루어진 군에서 선택되고 W는 C2-C6 알킬렌 스페이서 기이다.
  2. 제1항에 있어서, 중합체는 하기 구조식 1의 하나 이상의 반복 단위를 포함하는 중합체 및 설폰산의 단리된 반응 생성물인 수성 코팅 조성물:
    Figure pct00013

    상기 식에서, R1 내지 R5는 H 및 C1-C6 알킬로 이루어진 군에서 독립적으로 선택된다.
  3. 제1항 또는 제2항에 있어서, 중합체는 하기 구조식 3의 반복 단위를 추가로 포함하는 것인 수성 코팅 조성물:
    Figure pct00014

    상기 식에서, R7 내지 R9는 수소 및 C1 내지 C6 알킬에서 독립적으로 선택되고, A는 단일 결합, O, C(O), (C=O)O, C1 내지 C4 알킬에서 선택되고; X, Y, Z 및 N은 환형 구조를 형성하고, 추가로, X는 C1 내지 C6 알킬렌, 불포화된 C1 내지 C6 알킬렌, 직접 결합, 및 이의 혼합물에서 선택되고, Y는 C1 내지 C6 알킬렌, 불포화된 C1 내지 C6 알킬렌, 직접 결합, 및 이의 혼합물에서 선택되고, Z는 산소, C(O) 및 질소에서 선택된다.
  4. 제3항에 있어서, 상기 구조식 3의 반복 단위는 하기 화학식에서 선택되는 것인 수성 코팅 조성물:
    Figure pct00015

    상기 식에서, R7 내지 R9는 수소 및 C1 내지 C6 알킬에서 독립적으로 선택되고, X, Y, Z 및 N은 환형 구조를 형성하고, 추가로, X는 C1 내지 C6 알킬렌, 불포화된 C1 내지 C6 알킬렌, 직접 결합, 및 이의 혼합물에서 선택되고, Y는 C1 내지 C6 알킬렌, 불포화된 C1 내지 C6 알킬렌, 직접 결합, 및 이의 혼합물에서 선택되고, Z는 산소, C(O) 및 질소에서 선택된다.
  5. 제3항 또는 제4항에 있어서, 상기 구조식 3의 단량체 단위는 하기 화학식에서 선택되는 것인 수성 코팅 조성물:
    Figure pct00016
  6. 제1항 또는 제2항에 있어서, W는 메틸렌 및 에틸렌에서 선택되는 것인 수성 코팅 조성물.
  7. 제1항 내지 제4항 중 어느 하나의 항에 있어서, 조성물은 수혼화성 용매를 추가로 함유하고/하거나 조성물은 계면활성제, C1-C8 알콜 및 가교결합성 화합물에서 선택된 첨가제를 추가로 포함하고/하거나 조성물은 가교결합성 화합물을 포함하지 않는 것인 수성 코팅 조성물.
  8. 마이크로전자 장치의 제조 방법으로서,
    a) 기판에 포토레지스트 패턴을 제공하는 단계;
    b) 제1항 내지 제7항 중 어느 하나의 항의 중합체 열산 발생제의 수성 코팅 조성물로 포토레지스트 패턴을 코팅하는 단계;
    c) 포토레지스트 패턴과 접촉하는 코팅 조성물의 일부를 소성에 의해 반응시키는 단계; 및
    d) 반응하지 않은 코팅 조성물의 일부를 수성 제거액으로 제거함으로써 포토레지스트 패턴의 표면 위에 코팅을 형성하는 단계
    를 포함하는 방법.
  9. 제8항에 있어서,
    a) 코팅된 포토레지스트 패턴을 수성 염기 현상제로 현상하여 포토레지스트 패턴의 트리밍(trimming)을 실시하는 단계
    를 추가로 포함하는 방법.
  10. 제8항에 있어서,
    a) 코팅된 포토레지스트 패턴을, 수성 염기 용해성 기를 보호하는 산 불안정성 기를 포함하는 중합체 및 제1 포토레지스트 패턴을 용해시키지 않는 용매를 포함하는 제2 조성물로 코팅하는 단계;
    b) 코팅된 캡핑된 포토레지스트 특징부를 소성함으로써 제1항의 중합체 결합 열산 발생제 및 수성 염기 용해성 기를 보호하는 산 불안정성 기를 포함하는 중합체를 포함하는 조성물을 반응시키는 단계; 및
    c) 열산 발생제로 캡핑된 포토레지스트 특징부와 산 불안정성 기를 갖는 중합체 사이의 계면에서 탈보호된 재료를 수성 알칼리 현상제로 제거하는 단계
    를 추가로 포함하는 방법.
  11. 제10항에 있어서, 제2 조성물은 수성 알칼리에 의해 현상가능한 포지티브 톤 화학 증폭된 포토레지스트이고/이거나 수성 염기 용해성 기를 보호하는 산 불안정성 기를 포함하는 중합체는
    a) (i) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트는 디블로킹(deblocking)에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체, 및 (ii) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성된 반응 생성물;
    b) (i) 노볼락 중합체, (ii) 2∼7개의 페놀 기를 갖는 폴리히드록시 화합물, 및 (iii) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성된 반응 생성물;
    c) (i) 노볼락 중합체, (ii) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트는 디블로킹에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체, 및 (iii) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성된 반응 생성물;
    d) (i) (a) 노볼락 중합체, (b) 2∼7개의 페놀 기를 갖는 폴리히드록시 화합물, 및 (c) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성된 반응 생성물, 및 (ii) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트는 디블로킹에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체의 혼합물; 및
    e) (i) (a) 노볼락 중합체, (b) 2∼7개의 페놀 기를 갖는 폴리히드록시 화합물, 및 (c) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성된 반응 생성물, (ii) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트는 디블로킹에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체, 및 (iii) 노볼락의 혼합물
    로 이루어진 군에서 선택되는 것인 방법.
  12. 제10항 또는 제11항에 있어서, 열산 발생제로 캡핑된 포토레지스트 특징부를 용해시키지 않는 스핀 캐스팅 용매는 C4-C8 알킬 아세테이트, C8-C12 알칸, C4-C8 알콜, 및 이의 혼합물로 이루어진 군에서 선택되고, 바람직하게는 부틸 아세테이트, 프로필 아세테이트, 펜틸 아세테이트, 4-메틸-2-펜탄올, 펜탄올, 및 헥산올로 이루어진 군에서 선택되는 것인 방법.
  13. 마이크로전자 장치의 제조 방법으로서,
    a) 기판에 포토레지스트 패턴을 제공하는 단계;
    b) 제1항 내지 제7항 중 어느 하나의 항의 중합체 열산 발생제의 수성 코팅 조성물로 포토레지스트 패턴을 코팅하는 단계;
    c) 포토레지스트 패턴과 접촉하는 코팅 조성물의 일부를 소성에 의해 반응시키는 단계;
    d) 반응하지 않은 코팅 조성물의 일부를 수성 제거액으로 제거함으로써 포토레지스트 패턴의 표면 위에 코팅을 형성하는 단계;
    e) 코팅된 포토레지스트 패턴을, 수성 현상제에 불용성인 중합체 및 제1 포토레지스트 패턴을 용해시키지 않는 용매를 포함하는 제2 조성물로 코팅하는 단계; 및
    f) 수성 염기 현상제로 현상함으로써 오리지날 포토레지스트 패턴을 제거하여 리소그래픽 이미지 반전(reversal)을 실시하는 단계
    를 포함하는 방법.
  14. 제13항에 있어서, 제2 조성물은 수성 알칼리에 의해 현상가능한 포지티브 톤 화학 증폭된 포토레지스트인 방법.
  15. 제13항 또는 제14항에 있어서, 수성 염기 용해성 기를 보호하는 산 불안정성 기를 포함하는 중합체는
    a) (i) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트는 디블로킹에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체, 및 (ii) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성된 반응 생성물;
    b) (i) 노볼락 중합체, (ii) 2∼7개의 페놀 기를 갖는 폴리히드록시 화합물, 및 (iii) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성된 반응 생성물;
    c) (i) 노볼락 중합체, (ii) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트는 디블로킹에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체, 및 (iii) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성된 반응 생성물;
    d) (i) (a) 노볼락 중합체, (b) 2∼7개의 페놀 기를 갖는 폴리히드록시 화합물, 및 (c) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성된 반응 생성물, 및 (ii) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트는 디블로킹에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체의 혼합물; 및
    e) (i) (a) 노볼락 중합체, (b) 2∼7개의 페놀 기를 갖는 폴리히드록시 화합물, 및 (c) 비닐 에테르 및 비치환 또는 치환된 헤테로지환족에서 선택된 화합물 사이에 촉매의 부재 하에 형성된 반응 생성물, (ii) 치환 또는 비치환된 히드록시스티렌 및 아크릴레이트, 메타크릴레이트 또는 아크릴레이트와 메타크릴레이트의 혼합물을 포함하는 중합체로서, 아크릴레이트 및/또는 메타크릴레이트는 디블로킹에 높은 활성화 에너지가 필요한 산 불안정성 기에 의해 보호되는 것인 중합체, 및 (iii) 노볼락의 혼합물
    로 이루어진 군에서 선택되는 것인 방법.
KR1020157032739A 2013-05-17 2014-05-15 중합체 열산 발생제를 포함하는 조성물 및 이의 제법 KR20160011183A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/896,936 2013-05-17
US13/896,936 US9291909B2 (en) 2013-05-17 2013-05-17 Composition comprising a polymeric thermal acid generator and processes thereof
PCT/EP2014/059999 WO2014184308A1 (en) 2013-05-17 2014-05-15 A composition comprising a polymeric thermal acid generator and processes thereof

Publications (1)

Publication Number Publication Date
KR20160011183A true KR20160011183A (ko) 2016-01-29

Family

ID=50933134

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157032739A KR20160011183A (ko) 2013-05-17 2014-05-15 중합체 열산 발생제를 포함하는 조성물 및 이의 제법

Country Status (8)

Country Link
US (1) US9291909B2 (ko)
EP (1) EP2997058A1 (ko)
JP (1) JP6342993B2 (ko)
KR (1) KR20160011183A (ko)
CN (1) CN105164172B (ko)
SG (1) SG11201506923PA (ko)
TW (1) TWI617890B (ko)
WO (1) WO2014184308A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9530662B2 (en) * 2015-02-25 2016-12-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly including a substantially periodic array of topographical features that includes etch resistant topographical features for transferability control
EP3311404A4 (en) * 2015-06-22 2019-02-20 INTEL Corporation INVERSION OF PICTURE SHADES WITH DIELECTRIC USING ASCENDING RETICULATION FOR INTERCONNECTIONS OF FINAL MANUFACTURING UNITS (BEOL)
US9530663B1 (en) * 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9574104B1 (en) 2015-10-16 2017-02-21 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions and processes for self-assembly of block copolymers
JP2017157632A (ja) * 2016-02-29 2017-09-07 東芝メモリ株式会社 半導体装置の製造方法及びパターン形成方法
US9869933B2 (en) * 2016-03-07 2018-01-16 Rohm And Haas Electronic Materials Llc Pattern trimming methods
US9910355B2 (en) * 2016-07-29 2018-03-06 Rohm And Haas Electronic Materials Llc Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom
JP6757623B2 (ja) * 2016-08-10 2020-09-23 東京応化工業株式会社 レジストパターン厚肉化用ポリマー組成物、及びレジストパターン形成方法
JP6997764B2 (ja) 2016-08-18 2022-01-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 自己組織化用途用のポリマー組成物
WO2018114930A1 (en) 2016-12-21 2018-06-28 AZ Electronic Materials (Luxembourg) S.à.r.l. Novel compositions and processes for self-assembly of block copolymers
JPWO2018131495A1 (ja) * 2017-01-10 2019-11-07 Jsr株式会社 カバー膜形成方法、基材の処理方法及び組成物
US10691023B2 (en) * 2017-08-24 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing lithography process with post treatment
FR3075774B1 (fr) * 2017-12-21 2021-07-30 Commissariat Energie Atomique Procede de formation d’une structure de guidage chimique sur un substrat et procede de chemo-epitaxie
JPWO2019131953A1 (ja) * 2017-12-27 2020-12-24 Jsr株式会社 パターン形成方法及び感放射線性組成物
US11682559B2 (en) * 2020-06-11 2023-06-20 Tokyo Electron Limited Method to form narrow slot contacts
CN113835296A (zh) * 2021-09-28 2021-12-24 之江实验室 一种飞秒激光直写光刻胶组合物

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE543031A (ko) * 1954-12-29
JPS5849410A (ja) * 1981-09-19 1983-03-23 Sanyo Chem Ind Ltd カチオン性高分子凝集剤
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US6130303A (en) * 1988-12-19 2000-10-10 Cytec Technology Corp. Water-soluble, highly branched polymeric microparticles
EP0440374B1 (en) 1990-01-30 1997-04-16 Wako Pure Chemical Industries Ltd Chemical amplified resist material
US5321110A (en) * 1992-06-29 1994-06-14 Isp Investments Inc. Cationic polymer compositions
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
TW329539B (en) 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5863707A (en) 1997-02-11 1999-01-26 Advanced Micro Devices, Inc. Method for producing ultra-fine interconnection features
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
US6207778B1 (en) * 1999-05-07 2001-03-27 Isp Investments Inc. Conditioning/styling terpolymers
EP1302813A4 (en) 2000-06-21 2005-02-23 Asahi Glass Co Ltd RESIST COMPOSITION
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
WO2002065212A1 (fr) 2001-02-09 2002-08-22 Asahi Glass Company, Limited Composition de reserve
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
WO2007141199A2 (de) 2006-06-09 2007-12-13 Basf Se Verfahren zum schutz metallischer oberflächen vor korrosion durch fluide medien unter verwendung von ethylen und aminogruppen umfassenden copolymeren
US7745007B2 (en) 2006-10-31 2010-06-29 S.D. Warren Company Release sheets and methods of making the same
US7923200B2 (en) 2007-04-09 2011-04-12 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern comprising a lactam
US8715918B2 (en) * 2007-09-25 2014-05-06 Az Electronic Materials Usa Corp. Thick film resists
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US7745077B2 (en) * 2008-06-18 2010-06-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
BR112012013063B1 (pt) * 2009-12-01 2020-01-21 Lubrizol Advanced Mat Inc polímeros de múltiplas finalidades hidroliticamente estáveis
US8309278B2 (en) 2010-07-07 2012-11-13 Massachusetts Institute Of Technology Guided self-assembly of block copolymer line structures for integrated circuit interconnects
US8691925B2 (en) 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof

Also Published As

Publication number Publication date
JP2016526183A (ja) 2016-09-01
CN105164172B (zh) 2018-07-10
CN105164172A (zh) 2015-12-16
US20140342290A1 (en) 2014-11-20
WO2014184308A1 (en) 2014-11-20
EP2997058A1 (en) 2016-03-23
JP6342993B2 (ja) 2018-06-13
TW201500859A (zh) 2015-01-01
TWI617890B (zh) 2018-03-11
US9291909B2 (en) 2016-03-22
SG11201506923PA (en) 2015-09-29

Similar Documents

Publication Publication Date Title
JP6342993B2 (ja) ポリマー型熱酸発生剤を含む組成物及びそれの方法
KR101829955B1 (ko) 블록 공중합체의 유도 자기조립을 위한 중성층의 조성물 및 이의 방법
KR102364329B1 (ko) 유도 자가-조립용 하이-카이 블록 공중합체
TWI515767B (zh) 使用聚矽氮烷以形成反向曝光影像之硬罩製程
KR102245179B1 (ko) 지향성 자가 조립용 블록 공중합체에 사용하기 위한 고도로 내에칭성인 중합체 블록
CN108137313B (zh) 用于嵌段共聚物自组装的组合物和方法
US20080305441A1 (en) Hardmask composition having antirelective properties and method of patterning material on susbstrate using the same
KR20150008098A (ko) 유도된 자기-조립을 위한 실리콘 하드마스크 층
WO2008082241A1 (en) Polymer having antireflective properties and high carbon content, hardmask composition including the same, and process for forming a patterned material layer
WO2008082236A1 (en) Polymer having antireflective properties, hardmask composition including the same, and process for forming a patterned material layer
CN112368645A (zh) 用于euv光刻的粘附层
TWI754661B (zh) 用於自組裝應用之聚合物組合物
US11078337B2 (en) High-χ block copolymers for directed self-assembly
JP2002198283A (ja) レジストパターン形成方法
TWI380129B (en) High etch resistant hardmask composition having antireflective properties, method for forming patterned material layer using the hardmask composition and semiconductor integrated circuit device produced using the method
CN117321096A (zh) 用于ps-b-pmma型嵌段共聚物的图案化定向自组装的具有改进的干蚀刻能力的疏水性可交联钉扎底层
TW202406953A (zh) 開發含有羥基單體之新型中性墊以改善用於雙嵌段共聚物定向自組裝之SiARC基板的相容性

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right