KR20150136524A - 통계적 모델 기반 계측 - Google Patents

통계적 모델 기반 계측 Download PDF

Info

Publication number
KR20150136524A
KR20150136524A KR1020157030982A KR20157030982A KR20150136524A KR 20150136524 A KR20150136524 A KR 20150136524A KR 1020157030982 A KR1020157030982 A KR 1020157030982A KR 20157030982 A KR20157030982 A KR 20157030982A KR 20150136524 A KR20150136524 A KR 20150136524A
Authority
KR
South Korea
Prior art keywords
measurement
measurement data
model
wafer
determining
Prior art date
Application number
KR1020157030982A
Other languages
English (en)
Other versions
KR102035376B1 (ko
Inventor
스틸리안 판데프
조나단 매드슨
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20150136524A publication Critical patent/KR20150136524A/ko
Application granted granted Critical
Publication of KR102035376B1 publication Critical patent/KR102035376B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

측정된 트레이닝 데이터에 기초하여 측정 모델을 생성하기 위한 방법 및 시스템이 제공된다. 트레이닝된 측정 모델은, 다른 웨이퍼로부터 수집되는 측정된 데이터로부터, 프로세스 파라미터 값, 구조체 파라미터 값, 또는 둘 모두를 직접적으로 계산하기 위해 사용된다. 측정 모델은 측정 데이터를 입력으로서 직접 수신하고 프로세스 파라미터 값, 구조체 파라미터 값, 또는 둘 모두를 출력으로서 제공한다. 측정 모델은 프로세스 파라미터의 직접 측정을 가능하게 한다. 다수의 타겟으로부터의 측정 데이터는 모델 구축, 트레이닝 및 측정을 위해 수집된다. 몇몇 예에서, 다수의 타겟과 관련되는 측정 데이터의 사용은, 측정 결과에서 하부층(under layer)의 영향을 제거하거나 또는 크게 감소시키고, 더 정확한 측정을 가능하게 한다. 모델 구축, 트레이닝, 및 측정을 위해 수집되는 측정 데이터는, 다수의 상이한 측정 기술의 조합에 의해 수행되는 측정으로부터 유도될 수도 있다.

Description

통계적 모델 기반 계측{STATISTICAL MODEL-BASED METROLOGY}
관련 출원의 상호 참조
본 특허 출원은, 35 U.S.C. §119 하에서, 2013년 3월 27일자로 출원되고 발명의 명칭이 "Optical Metrology Using Statistical Models for Direct Measurement of Structure and Process Parameters"인 미국 특허 가출원 제61/805,831로부터의 우선권을 주장하는데, 상기 가출원의 주제는 참조에 의해 그 전체가 본원에 통합된다.
기술분야
설명되는 실시형태는 계측 시스템 및 방법에 관한 것으로, 특히 향상된 파라미터 계측을 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 시료(specimen)에 대해 적용되는 일련의 프로세싱 단계에 의해 통상적으로 제조된다. 반도체 디바이스의 다양한 피쳐(feature) 및 다수의 구조 레벨(structural level)은 이들 프로세싱 단계에 의해 형성된다. 예를 들면, 다른 것들 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가 예는, 화학적 기계적 연마, 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 이들에 한정되는 것은 아니다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 제조되고, 그 후 개개의 반도체 디바이스로 분리된다.
계측 프로세스는, 웨이퍼 상에서 결함을 검출하여 수율을 높이기 위해, 반도체 제조 프로세스 동안의 다양한 단계에서 사용된다. 광학적 계측 기술은 샘플 파괴의 위험 없이 높은 스루풋에 대한 잠재성을 제공한다. 나노 스케일 구조체의 임계 치수(critical dimension), 막 두께, 조성 및 다른 파라미터의 특성을 묘사하기(characterize) 위해, 산란측정법 구현(scatterometry implementation) 및 반사측정법 구현(reflectometry implementation) 및 관련 분석 알고리즘을 포함하는 다수의 광학적 계측 기반 기술이 일반적으로 사용되고 있다.
전통적으로, 광학적 계측은 박막 및/또는 반복된 주기적 구조체로 이루어진 타겟에 대해 수행된다. 디바이스 제조 동안, 이들 막 및 주기적 구조체는 통상적으로 실제 디바이스 지오메트리(geometry) 및 재료 구조체 또는 중간 디자인을 나타낸다. 디바이스(예를 들면, 로직 및 메모리 디바이스)가 더 소형의 나노 스케일 치수로 이동함에 따라, 특성묘사(characterization)는 점점 더 어려워지고 있다. 복잡한 3차원 지오메트리 및 재료를 다양한 물리적 속성(property)과 통합하는 디바이스는 특성묘사를 어렵게 한다.
예를 들면, 현대의 메모리 구조체는, 종종, 광학적 방사(optical radiation)가 하부층(bottom layer)까지 투과하는 것을 어렵게 만드는 높은 애스펙트비의 3차원 구조체이다. 또한, 복잡한 구조체(예를 들면, FinFET)의 특성을 묘사하는 데 필요한 파라미터의 수의 증가는, 파라미터 상관관계의 증가로 이어진다. 결과적으로, 타겟의 특성을 묘사하는 측정 모델 파라미터(measurement model parameter)는 신뢰성 있게 분리될 수 없다.
이들 도전과제에 응답하여, 더 복잡한 광학적 툴이 개발되었다. 측정은, 넓은 범위의 여러 머신 파라미터(예를 들면, 파장, 방위각 및 입사각 등등)에 걸쳐, 그리고 종종 동시에 수행된다. 결과적으로, 측정 시간, 계산 시간, 및 측정 레시피(measurement recipe)를 포함하는 신뢰성 있는 결과를 생성하기 위한 총 시간은 크게 증가한다. 또한, 큰 파장 범위에 걸친 광 강도의 확산은 임의의 특정 파장에서의 조명 강도를 저하시키고 그 파장에서 수행되는 측정의 신호 불확실성을 증가시킨다.
또한, 기존의 모델 기반 계측 방법은 모델링한 후 구조체 파라미터를 측정하는 일련의 단계를 통상적으로 포함한다. 통상적으로, 측정 데이터는 특정한 계측 타겟으로부터 수집된다(예를 들면, DOE 스펙트럼). 광학 시스템, 분산 파라미터, 및 지오메트리 피쳐의 정확한 모델이 정형화된다(formulated). 재료 분산(material dispersion)을 결정하기 위해 막(film) 스펙트럼 측정치가 수집된다. 타겟 구조의 파라미터적 지오메트리 모델(parametric geometric model)은 광학적 모델과 함께 생성된다. 또한, 시뮬레이션 근사치(예를 들면, 슬래빙(slabbing), 엄밀한 결합파 해석(Rigorous Coupled Wave Analysis; RCWA) 등등)는 과도하게 큰 오차를 도입하는 것을 방지하기 위해 조심스럽게 수행되어야 한다. 이산화(discretization) 및 RCWA 파라미터가 정의된다. 지오메트리 모델을 개선하고 어떤 모델 파라미터가 플로팅할지를 결정하기 위해, 일련의 시뮬레이션, 분석, 및 회귀(regression)가 수행된다. 합성 스펙트럼의 라이브러리가 생성된다. 최종적으로, 라이브러리와 지오메트리 모델을 사용하여 측정이 수행된다. 각각의 단계는 오차를 도입하고 상당한 양의 계산적 시간 및 유저 시간을 소비한다. 통상적으로, 모델 구축 작업은 완성하는 데 여러 날, 또는 심지어 수 주(week)를 필요로 한다. 또한, 측정 동안 회귀 계산을 수행하는 것과 관련되는 라이브러리의 사이즈와 계산 시간은 측정 시스템의 스루풋을 감소시킨다.
미래의 계측 애플리케이션은, 점점 더 소형화하는 해상도 요건, 다수의 파라미터 상관관계, 점점 더 복잡해지는 지오메트리 구조, 및 불투명 재료의 더 많은 사용으로 인해 계측에 대한 도전과제를 제시한다. 따라서, 향상된 계측을 위한 방법 및 시스템이 소망된다.
측정된 트레이닝 데이터에 기초하여 측정 모델을 생성하기 위한 방법 및 시스템이 제공된다. 그 후, 트레이닝된 측정 모델은, 다른 웨이퍼로부터 수집되는 측정된 데이터로부터, 프로세스 파라미터 값, 구조체 파라미터 값, 또는 둘 모두를 직접적으로 계산하기 위해 사용된다.
일 양태에서, 본원에서 설명되는 측정 모델은 측정 데이터를 입력으로서 직접 수신하고 프로세스 파라미터 값, 구조체 파라미터 값, 또는 둘 모두를 출력으로서 제공한다. 모델링 프로세스를 능률적으로 하는 것에 의해, 계산 및 유저 시간에서의 감소와 함께 예측 결과가 향상된다.
측정 모델은 프로세스 파라미터의 직접 측정을 가능하게 하여, 지오메트리 파라미터로부터 프로세스 파라미터를 유도하기 위한 별개의 모델에 대한 필요성을 제거한다. 프로세스 변동(variation)이 모델에 의해 캡쳐되기 때문에, 기저의(underlying) 구조체 토폴로지가 프로세스 변동으로 인해 변하고 있는 경우에도 프로세스 파라미터 값은 측정된다.
추가 양태에서, 다수의 타겟으로부터의 측정 데이터는 모델 구축, 트레이닝 및 측정을 위해 수집된다. 몇몇 예에서, 다수의 타겟과 관련되는 측정 데이터의 사용은, 측정 결과에서 하부층(under layer)의 영향을 제거하거나 또는 크게 감소시킨다. 다수의 타겟과 관련되는 측정 데이터의 사용은 모델에 임베딩되는 샘플 및 프로세스 정보를 증가시킨다. 특히, 하나 이상의 측정 사이트(site)에서의 다수의 상이한 타겟의 측정치를 포함하는 트레이닝 데이터의 사용은 더 정확한 측정을 가능하게 한다.
다른 추가 양태에서, 다수의 상이한 측정 기술의 조합에 의해 수행되는 측정으로부터 유도되는 측정 데이터는 모델 구축, 트레이닝, 및 측정을 위해 수집된다. 다수의 상이한 측정 기술과 관련되는 측정 데이터의 사용은 모델에 임베딩되는 샘플 및 프로세스 정보를 증가시키고 더 정확한 측정을 가능하게 한다. 일반적으로, 임의의 측정 기술, 또는 둘 이상의 측정 기술의 조합이 고려될 수도 있다.
또 다른 양태에서, 본원에서 설명되는 측정 모델 결과는 프로세스 툴(예를 들면, 리소그래피 툴, 에칭 툴, 퇴적 툴 등등)로 능동 피드백(active feedback)을 제공하기 위해 사용될 수 있다.
앞서 언급한 것은 개요이며, 따라서, 필요에 의해, 세부사항의 단순화, 일반화, 및 생략을 포함하며; 따라서, 개요는 단지 예시적인 것이며 어떠한 방식으로든 제한하지는 않는다는 것을 기술분야의 숙련된 자는 알 수 있을 것이다. 본원에 설명된 디바이스 및/또는 프로세스의 다른 양태, 독창적인 피쳐, 및 이점은, 본원에 기재된 비제한적인 상세한 설명에서 명확해질 것이다.
도 1은 본원에서 설명되는 바와 같은 측정 모델을 구축하고 트레이닝하는 방법(100)을 예시하는 플로우차트이다.
도 2는 본원에서 설명되는 바와 같은 다른 예에서 측정 모델을 구축하고 트레이닝하는 방법(110)을 예시하는 플로우차트이다.
도 3은 본원에서 설명되는 바와 같은 또 다른 예에서 측정 모델을 구축하고 트레이닝하는 방법(120)을 예시하는 플로우차트이다.
도 4는 본원에서 설명되는 바와 같은 또 다른 예에서 측정 모델을 구축하고 트레이닝하는 방법(130)을 예시하는 플로우차트이다.
도 5는 방법(100, 110, 120, 및 130)에 의해 생성되는 측정 모델을 사용하여 반도체 웨이퍼의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두를 측정하는 방법(140)을 예시하는 플로우차트이다.
도 6a 및 도 6b는, FEM 웨이퍼의 노광 선량(exposure dosage) 및 초점의 깊이의 측정치를 각각 예시하는 윤곽 플롯(contour plot)이다.
도 6c 및 도 6d는, 샘플 웨이퍼의 노광 선량 및 초점의 깊이의 측정치를 각각 예시하는 윤곽 플롯이다.
도 6e 및 도 6f는, 다른 샘플 웨이퍼의 노광 선량 및 초점의 깊이의 측정치를 각각 예시하는 윤곽 플롯이다.
도 7a 및 도 7b는, 초점 노광 매트릭스(focus exposure matrix; FEM) 웨이퍼의 표면에 걸친 격리 구조체(isolated structure) 및 고밀도 구조체(dense structure) 각각의 중간 임계 치수(middle critical dimension; MCD)의 측정치를 예시하는 윤곽 플롯이다.
도 8a 및 도 8b는, 샘플 웨이퍼의 표면에 걸친 격리 구조체 및 고밀도 구조체 각각의 중간 임계 치수(MCD)의 측정치를 예시하는 윤곽 플롯이다.
도 9a 및 도 9b는 FEM 웨이퍼의 표면에 걸친 초점의 깊이 및 노광 선량의 측정치를 각각 예시하는 윤곽 플롯이다.
도 10a 및 도 10b는 샘플 웨이퍼의 표면에 걸친 초점의 깊이 및 노광 선량의 측정치를 각각 예시하는 윤곽 플롯이다.
도 11은 FEM 웨이퍼로부터 수집된 스펙트럼의 주요 성분 맵(principal components map)의 매트릭스(170)를 예시하는 도면이다.
도 12는 본원에서 제공되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 시스템(300)을 예시한다.
이제, 배경기술의 예 및 본 발명의 몇몇 실시형태를 상세히 참조할 것인데, 본 발명의 실시형태의 예는 첨부의 도면에서 예시된다.
측정된 트레이닝 데이터(예를 들면, 실험 설계(Design of Experiments; DOE) 웨이퍼로부터 수집된 스펙트럼)에만 기초하는 측정 모델을 생성하기 위한 방법 및 시스템이 제공된다. 그 후, 트레이닝된 측정 모델은, 다른 웨이퍼로부터 수집되는 측정된 데이터로부터 프로세스 파라미터 값, 구조체 파라미터 값, 또는 둘 모두를 직접적으로 계산하기 위해 사용된다.
일 양태에서, 본원에서 설명되는 측정 모델은 측정 데이터(예를 들면, 측정된 스펙트럼)를 입력으로서 직접 수신하고 프로세스 파라미터 값, 구조체 파라미터 값, 또는 둘 모두를 출력으로서 제공한다. 모델링 프로세스를 능률적으로 하는 것에 의해, 계산 및 유저 시간에서의 감소와 함께 예측 결과가 향상된다.
다른 양태에서, 프로세스 파라미터는 본원에서 설명되는 바와 같은 원시 측정 데이터(raw measurement data)(예를 들면, 스펙트럼)로부터 생성되는 측정 모델에 기초하여 직접적으로 측정된다. 따라서, 지오메트리 파라미터로부터 프로세스 파라미터를 유도하기 위한 별도의 모델은 불필요하다. 프로세스 변동(variation)이 모델에 의해 캡쳐되기 때문에, 기저의(underlying) 구조체 토폴로지가 프로세스 변동으로 인해 변하고 있는 경우에도 프로세스 파라미터 값은 측정된다. 기존의 모델 기반의 계측 방법을 사용하여 모델링하는 것은 불가능하거나, 또는 아주 어렵다.
전통적으로, 모델 기반의 반도체 계측은, 측정 타겟의 특정한 계측 시스템과의 상호작용의 모델에 기초하여, 측정된 광학 신호의 예측을 시도하는 계측 모델을 정형화하는 것으로 구성된다. 타겟 고유의 모델은, 관심의 대상인 측정 타겟의 물리적 속성(예를 들면, 막 두께, 임계 치수, 반사율, 격자 피치 등등)의 관점에서의 구조체의 파라미터화를 포함한다. 또한, 모델은 측정 툴 자체(예를 들면, 파장, 입사각, 편광 각 등등)의 파라미터화를 포함한다
머신 파라미터(Pmachine)는 계측 툴 자체의 특성을 묘사하기 위해 사용되는 파라미터이다. 예시적인 머신 파라미터는 입사각(AOI), 분석기 각도(analyzer angle; A0), 편광기 각도(P0), 조명 파장, 개구수(numerical aperture; NA)를 포함한다. 시료 파라미터(Pspecimen)는 시료의 지오메트리의 그리고 재료적 속성의 특성을 묘사하기 위해 사용되는 파라미터이다. 박막 시료의 경우, 예시적인 시료 파라미터는 반사율, 유전 함수 텐서(dielectric function tensor), 모든 층의 공칭 층 두께, 층 시퀀스 등등을 포함한다.
측정 목적을 위해, 머신 파라미터는 기지의(known) 고정된 파라미터로서 취급되고 시료 파라미터, 또는 시료 파라미터의 서브셋은 미지의(unknown) 플로팅하는 파라미터로서 취급된다. 플로팅 파라미터는, 이론적 예측치와 측정된 데이터 사이의 최적의 피팅을 생성하는 피팅 프로세스(fitting process)(예를 들면, 회귀, 라이브러리 매칭 등등)에 의해 결정된다. 미지의 시료 파라미터(P변하며, 모델 출력 값은, 모델 출력 값과 측정된 값 사이의 밀접한 매치로 나타나는 시료 파라미터 값의 세트가 결정될 때까지 계산된다.
많은 경우에서, 시료 파라미터는 고도로 상관된다. 이것은 계측 기반 타겟 모델의 불안정성으로 이어질 수 있다. 몇몇 경우에서, 이것은 소정의 시료 파라미터를 고정하는 것에 의해 해결된다. 그러나, 이것은 종종 나머지 파라미터의 추정에서 상당한 오차로 나타나게 된다. 예를 들면, 기저층(예를 들면, 반도체 웨이퍼 상의 반도체 재료 스택의 산화물 베이스 층)은 웨이퍼의 표면에 걸쳐 균일한 두께가 아니다. 그러나, 파라미터 상관관계를 감소시키기 위해, 웨이퍼의 표면에 걸쳐 고정된 두께를 갖는 것으로 이들 층을 취급하는 측정 모델이 구성된다. 불행히도, 이것은 다른 파라미터의 추정에서 상당한 오차로 이어질 수도 있다.
본원에서 설명되는 바와 같이, 프로세스 파라미터, 지오메트리 파라미터, 또는 둘 모두의 모델을 생성하는 데에만 원시 측정 데이터(예를 들면, 스펙트럼)를 사용하는 것에 의해, 전통적인 모델 기반 계측 방법과 관련되는 오차 및 근사치는 감소된다. 지오메트리 모델 및 시뮬레이션에 의해 추가되는 복잡성 없이, 복잡한 3차원 구조체의 측정 및 다이 내 측정(in-die measurement)이 가능하게 된다. 몇몇 예에서, 모델은 한 시간 이내에 생성될 수 있다. 또한, 단순화된 모델을 활용함으로써, 기존의 모델 기반의 계측 방법과 비교하여 측정 시간이 감소된다. 몇몇 예에서, 측정 시간은 측정 사이트마다 10 밀리초 미만이다. 대조적으로, 전통적인 모델 기반의 계측 방법을 사용한 측정 시간은 몇몇 경우에서는 1초보다 더 길 수 있다.
도 1은 본 발명의 도 12에 예시된 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(100)을 예시한다. 일 양태에서, 방법(100)의 데이터 프로세싱 블록은, 컴퓨팅 시스템(330), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 수행될 수도 있다는 것이 인식된다. 본원에서는, 계측 시스템(300)의 특정한 구조적 양태가 제한을 나타내지 않으며 예시적으로서만 해석되어야 한다는 것이 인식된다.
블록 101에서, 반도체 웨이퍼의 표면 상의 제1 복수의 사이트의 측정과 관련되는 제1 양의 측정 데이터(first amount of measurement data)가 컴퓨팅 시스템(예를 들면, 컴퓨팅 시스템(330))에 의해 수신된다. 측정된 사이트는 적어도 하나의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두의 기지의 변동(known variation)을 나타낸다.
몇몇 실시형태에서, 프로세스 파라미터 변동은 반도체 웨이퍼(예를 들면, DOE 웨이퍼)의 표면 상의 실험 설계(DOE) 패턴으로 편제된다(organized). 이 방식에서, 측정 사이트는, 상이한 프로세스 파라미터 값과 대응하는 웨이퍼 표면 상의 상이한 위치를 심문한다(interrogate). 일 예에서, DOE 패턴은 초점/노광 매트릭스(Focus/Exposure Matrix; FEM) 패턴이다. 통상적으로, FEM 패턴을 나타내는 DOE 웨이퍼는 측정 사이트의 그리드 패턴을 포함한다. 하나의 그리드 방향(예를 들면, x 방향)에서는, 초점의 깊이가 일정하게 유지되는 동안 노광 선량이 변한다. 수직 그리드 방향(예를 들면, y 방향)에서는, 노광 선량이 일정하게 유지되는 동안 초점의 깊이가 변한다. 이 방식에서, DOE 웨이퍼로부터 수집되는 측정 데이터는 초점 및 선량(dosage)에서의 기지의 변동과 관련되는 데이터를 포함한다.
상기 언급된 예에서, 측정 데이터는 초점 및 노광에서의 기지의 변동으로 프로세싱된 DOE 웨이퍼와 관련된다. 그러나, 일반적으로, 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두의 임의의 기지의 변동과 관련되는 측정 데이터가 고려될 수도 있다.
블록 102에서, 하나 이상의 피쳐는 제1 양의(first amount of) 측정 데이터로부터 추출된다. 몇몇 예에서, 측정 데이터는 주요 성분 분석(Principal Components Analysis; PCA), 또는 비선형 PCA를 사용하여 분석되어, 상이한 측정 사이트에서 존재하는, 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두에서의 변동을 가장 강하게 반영하는 피쳐를 추출한다. 몇몇 다른 예에서, 상이한 측정 사이트에서 존재하는 파라미터 변동을 가장 강하게 반영하는 신호 데이터를 추출하기 위해, 신호 필터링 기술이 적용될 수도 있다. 몇몇 다른 예에서, 상이한 측정 사이트에서 존재하는 파라미터 변동을 가장 강하게 반영하는 개개의 신호는 측정 데이터에서 존재하는 다수의 신호로부터 선택될 수도 있다. 후속하는 분석에 종속하는 데이터의 차원을 감소시키기 위해 측정 데이터로부터 피쳐를 추출하는 것이 바람직하지만, 엄격하게 필요한 것은 아니다. 이 관점에서, 블록 102는 옵션적이다(optional).
블록 103에서, 측정 데이터로부터, 또는 대안적으로, 측정 데이터로부터 직접적으로 추출되는 피쳐에 기초하여 입력-출력 측정 모델이 결정된다. 입력-출력 측정 모델은, 하나 이상의 측정 사이트에서 계측 시스템에 의해 생성되는 측정 데이터를 수신하도록, 그리고, 각각의 측정 타겟과 관련되는, 프로세스 파라미터 값, 구조체 파라미터 값, 또는 둘 모두를 직접적으로 결정하도록 구조화된다. 바람직한 실시형태에서, 입력-출력 측정 모델은 신경망 모델(neural network model)로서 구현된다. 일 예에서, 신경망의 노드의 수는 측정 데이터로부터 추출되는 피쳐에 기초하여 선택된다. 다른 예에서, 입력-출력 측정 모델은 다항식 모델, 응답 표면 모델(response surface model), 또는 다른 타입의 모델로서 구현될 수도 있다.
블록 104에서, 예상된 응답 모델(expected response model)은, 측정 데이터가 수집되는 측정 사이트에 걸쳐 변한다고 알려져 있는 파라미터의 각각에 대해 생성된다. 일반적으로, 예상된 응답 모델은 기지의 변하는 파라미터의 값을, 웨이퍼 표면 상의 위치의 함수로서 정의한다. 이 방식에서, 예상된 응답 모델은 주어진 파라미터에 대한 웨이퍼 맵의 예상된 전체 형상을 정의한다.
블록 105에서, 입력-출력 측정 모델은 예상된 응답 모델로부터 결정되는 파라미터 값에 기초하여 트레이닝된다. 이 방식에서, 예상된 응답 모델에 임베딩되는 프로세스 정보는 입력-출력 모델을 프로세스 공간 내에 구속하기 위해 사용된다. 이 방식에서, 트레이닝된 입력-출력 측정 모델은 DOE 측정 데이터 및 예상된 응답 모델을 사용하여 생성된다. 모델은, 그 출력이 DOE 스펙트럼에 의해 정의되는 프로세스 변동 공간의 모든 스펙트럼에 대해, 정의된 예상 응답에 피팅되도록 트레이닝된다.
몇몇 예에서, 하나 이상의 프로세스 파라미터가 측정될 것이다. 이들 예에서, 예상된 응답 모델은 측정된 DOE 웨이퍼와 관련되는 기지의 프로세스 파라미터 값에 기초한다.
도 2는 본 발명의 도 12에 예시된 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 다른 예에서의 방법(110)을 예시한다. 방법(110)은 도 1을 참조로 설명된 것과 동일한 도면부호가 붙여진 블록을 포함한다. 도 2에 묘사된 바와 같이, 블록 111에서, 기지의 프로세스 파라미터 값은 컴퓨팅 시스템(330)과 같은 컴퓨팅 시스템에 의해 수신된다. 몇몇 예에서, 기지의 프로세스 파라미터 값은 DOE 웨이퍼를 제조하기 위해 사용되는 리소그래피 시스템의 초점의 깊이 및 노광 선량이다.
블록 112에서, 컴퓨팅 시스템(330)은 각각의 프로세스 파라미터에 대한 예상된 응답 모델을 결정한다. 통상적인 DOE 웨이퍼에서, 초점 및 노광은 DOE 웨이퍼의 x 및 y 좌표에 따라 선형적으로 변경된다. 몇몇 예에서, DOE 웨이퍼 상에서의 초점 파라미터에 대한 예상된 응답 형상은 웨이퍼의 중간에서 영교차(zero crossing)를 갖는 x 방향에서의 경사진 평면(tilted plane)이다. 일 예에서, 초점 파라미터 값을 결정하는 예상된 응답 함수는, 초점=a*x+b인데, 여기서 a 및 b는 각각의 측정 사이트에서의 기지의 초점 파라미터 값에 대해 최적의 피팅을 실현하는 계수이다. 마찬가지로, DOE 웨이퍼 상에서의 노광 파라미터에 대한 예상된 응답 형상은 웨이퍼의 중간에서 영교차를 갖는 y 방향에서의 경사진 평면이다. 다른 예에서, 노광 파라미터 값을 결정하는 예상된 응답 함수는, 노광=c*y+d인데, 여기서 c 및 d는 각각의 측정 사이트에서의 기지의 노광 파라미터 값에 대해 최적의 피팅을 실현하는 계수이다
다른 예에서, DOE 웨이퍼의 예상된 응답 모델은, 2차원 맵 함수(즉, {x,y})를 측정 사이트의 각각에서의 초점 및 노광의 기지의 값에 피팅시키는 것에 의해 결정된다.
몇몇 다른 예에서, 하나 이상의 구조체 파라미터가 측정될 것이다. 지오메트리 파라미터의 경우, 웨이퍼 맵의 형상은 더욱 복잡할 수도 있고, 종종 그 형상은 프로세스에 의해 정의된다. 이들 예 중 몇몇에서, 예상된 응답 모델은 측정된 DOE 웨이퍼와 관련되는 기지의 프로세스 파라미터 값에 기초하여 생성된다. 도 3은 본 발명의 도 12에 예시된 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 또 다른 예에서의 방법(120)을 예시한다. 방법(120)은 도 1을 참조로 설명된 것과 동일한 도면부호가 붙여진 블록을 포함한다.
도 3에 묘사된 바와 같이, 블록 121에서, 기지의 프로세스 파라미터 값은 컴퓨팅 시스템(330)과 같은 컴퓨팅 시스템에 의해 수신된다. 일 예에서, 기지의 프로세스 파라미터 값은 각각의 측정 사이트와 대응하는 기지의 초점 및 노광 값이다.
블록 122에서, 컴퓨팅 시스템(330)은, 각각의 측정 사이트에서의 기지의 프로세스 파라미터 값의 각각과 관련되는 예상된 구조체 파라미터 값은 시뮬레이션에 기초하여 결정된다고 결정한다. 예를 들면, 프로세스 시뮬레이터는 프로세스 파라미터 값의 주어진 세트에 대해 구조체 파라미터(즉, 지오메트리 또는 재료 파라미터)의 예상된 응답을 정의하도록 활용된다. 예시적인 프로세스 시뮬레이터는, 미국 캘리포니아 밀피타스의 KLA-Tencor Corporation으로부터 입수가능한 Positive Resist Optical Lithography(PROLITH) 시뮬레이션 소프트웨어를 포함한다. 이 예시적인 리소그래피 프로세스 모델이 PROLITH 소프트웨어를 사용하여 생성되지만, 본 특허 문헌의 범위 내에서 임의의 프로세스 모델링 기술 또는 툴이 고려될 수도 있다. 몇몇 예에서, 각각의 측정 사이트에서의 예상된 구조체 파라미터 값은, 각각의 측정 사이트와 대응하는 대응하는 초점 및 노광 파라미터에 기초하여 결정된다.
블록 123에서, 컴퓨팅 시스템(330)은 각각의 구조체 파라미터의 예상된 응답 모델을 결정한다. 몇몇 예에서, 예상된 응답 모델은, 2차원(예를 들면, {x,y}) 맵 함수를 각각의 측정 사이트와 관련되는 구조체 파라미터 값에 피팅시키는 것에 의해 결정된다.
몇몇 다른 예에서, 구조체 파라미터에 대한 예상된 응답 모델은, DOE 웨이퍼와 관련되는 측정 데이터의 피처에 기초하여 결정된다. 도 4는 본 발명의 도 12에 예시된 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 또 다른 예에서의 방법(130)을 예시한다. 방법(130)은 도 1을 참조로 설명된 것과 동일한 도면부호가 붙여진 블록을 포함한다.
도 4에 묘사된 바와 같이, 블록 131에서, DOE 웨이퍼에 대한 구조체 파라미터의 측정과 관련되는 기준 측정 데이터 (reference measurement data)가, 예를 들면, 컴퓨팅 시스템(330)에 의해 수신된다. 기준 측정 데이터 는, 주사 전자 현미경(Scanning Electron Microscope; SEM), 터널링 전자 현미경(Tunneling electron Microscope; TEM), 원자간력 현미경(Atomic Force Microscope; AFM), 또는 x 레이 측정 시스템과 같은 기준 계측 시스템에 의한 DOE 웨이퍼의 하나 이상의 측정 사이트에서의 타겟의 측정치로부터 유도된다.
또한, 블록 102에서, 하나 이상의 피쳐(예를 들면, 형상 함수)는 도 1을 참조로 설명된 바와 같이 측정 데이터로부터 추출된다. 일 예에서, 측정 스펙트럼의 제1 주요 성분(first principal component; PC1)은, 특정한 구조체 파라미터(예를 들면, 중간 임계 치수(MCD))와 관련되는 응답 표면의 모든 형상을 설명하기 위해 사용된다.
블록 132에서, 컴퓨팅 시스템(330)은, 기준 측정 데이터 에 기초하여 측정 데이터로부터 추출되는 형상 함수(들)를 캘리브레이팅하여 캘리브레이팅된 응답 표면을 생성한다.
블록 133에서, 컴퓨팅 시스템(330)은, 2차원(예를 들면, {x,y}) 맵 함수를 캘리브레이팅된 응답 표면에 피팅시키는 것에 의해 기지의 구조체 파라미터의 각각의 예상된 응답 모델을 결정한다. 일 예에서, MCD 파라미터의 예상된 응답 모델은: MCD = a01 + a11(y + r0y2) + a21x2인데, 여기서, x 및 y는 웨이퍼 좌표이고 a01, a11, r0, a21은 함수를 캘리브레이팅된 형상 함수에 최적으로 피팅시키는 계수이다.
다른 양태에서, 트레이닝된 모델은 다른 웨이퍼의 측정을 위한 측정 모델로서 활용된다. 도 5는 본 발명의 도 12에 예시된 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(140)을 예시한다. 일 양태에서, 방법(140)의 데이터 프로세싱 블록은, 컴퓨팅 시스템(330), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 수행될 수도 있다는 것이 인식된다. 본원에서는, 계측 시스템(300)의 특정한 구조적 양태가 제한을 나타내지 않으며 예시적으로서만 해석되어야 한다는 것이 인식된다.
블록 141에서, 반도체 웨이퍼의 표면 상의 제2 복수의 사이트의 측정과 관련되는 많은 양의 측정 데이터가 컴퓨팅 시스템(예를 들면, 컴퓨팅 시스템(330))에 의해 수신된다.
블록 142에서, 하나 이상의 피쳐는 측정 데이터로부터 추출된다. 몇몇 예에서, 측정 데이터는 주요 성분 분석(Principal Components Analysis; PCA), 또는 비선형 PCA를 사용하여 분석되어, 상이한 측정 사이트에서 존재하는, 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두에서의 변동을 가장 강하게 반영하는 피쳐를 추출한다. 몇몇 다른 예에서, 상이한 측정 사이트에서 존재하는 파라미터 변동을 가장 강하게 반영하는 신호 데이터를 추출하기 위해, 신호 필터링 기술이 적용될 수도 있다. 몇몇 다른 예에서, 상이한 측정 사이트에서 존재하는 파라미터 변동을 가장 강하게 반영하는 개개의 신호는 측정 데이터에서 존재하는 다수의 신호로부터 선택될 수도 있다. 후속하는 분석에 종속하는 데이터의 차원을 감소시키기 위해 측정 데이터로부터 피쳐를 추출하는 것이 바람직하지만, 엄격하게 필요한 것은 아니다. 이 관점에서, 블록 142는 옵션적이다. 또한, 도 1 내지 도 4를 참조로 설명된 바와 같이, 블록 102에서 트레이닝 데이터로부터 피쳐를 추출하기 위해 활용되는 동일한 분석을 사용하여 측정 데이터로부터 피쳐를 추출하는 것이 바람직하다.
블록 143에서, 제2 복수의 사이트의 각각과 관련되는, 적어도 하나의 프로세스 파라미터 값, 적어도 하나의 구조체 파라미터 값, 또는 둘 모두는, 비제한적인 예로서, 도 1 내지 도 4를 참조하여 설명된 바와 같은 트레이닝된 입력-출력 측정 모델에 제2 양의 측정 데이터를 피팅시키는 것에 기초하여 컴퓨팅 시스템(330)에 의해 결정된다.
블록 144에서, 결정된 파라미터 값은 메모리에 저장된다. 예를 들면, 파라미터 값은 측정 시스템(300)에 탑재된 예를 들면 메모리(332)에 저장될 수도 있거나, 또는 외부 메모리 디바이스에 (예를 들면, 출력 신호(340)를 통해) 전달될 수도 있다.
도 6a는 DOE 웨이퍼의 표면에 걸친 노광 선량의 측정치를 예시하는 윤곽 플롯(150)이다. 예시된 바와 같이, 노광 선량은 웨이퍼에 걸쳐 x 방향에서 변하고 웨이퍼에 걸쳐 y 방향에서 일정하다. 도 6a는 DOE 웨이퍼의 표면에 걸친 리소그래피의 초점의 깊이의 측정치를 예시하는 윤곽 플롯(151)이다. 예시된 바와 같이, 초점의 깊이는 웨이퍼에 걸쳐 y 방향에서 변하고 웨이퍼에 걸쳐 x 방향에서 일정하다.
도 6a 및 도 6b에 예시된 측정 결과는, DOE 웨이퍼 상의 다수의 측정 사이트에서 수집되고 도 5에 예시된 방법(140)에 따라 프로세싱되는 측정치(즉, 측정된 스펙트럼)로부터 유래한다. 기저의 선량 및 초점 측정 모델은 방법(110)에 따라 전개되었고 동일한 DOE 웨이퍼 상의 상이한 측정 사이트로부터 수집되는 측정 데이터로 트레이닝되었다.
도 6c는 샘플 웨이퍼의 표면에 걸친 노광 선량의 측정치를 예시하는 윤곽 플롯(152)이다. 도 6d는 도 6c를 참조로 설명된 동일한 웨이퍼의 표면에 걸친 리소그래피의 초점의 깊이의 측정치를 예시하는 윤곽 플롯(153)이다.
도 6c 및 도 6d에 예시된 측정 결과는, DOE 웨이퍼와는 상이한 웨이퍼 상의 다수의 측정 사이트에서 수집되고 도 5에 예시된 방법(140)에 따라 프로세싱되는 측정치(즉, 측정된 스펙트럼)로부터 각각 유도된다. 기저의 선량 및 초점 측정 모델은 방법(110)에 따라 전개되었고 도 6a 및 도 6b를 참조로 설명된 DOE 웨이퍼 상의 상이한 측정 사이트로부터 수집되는 측정 데이터로 트레이닝되었다.
도 6e는 또 다른 샘플 웨이퍼의 표면에 걸친 노광 선량의 측정치를 예시하는 윤곽 플롯(154)이다. 도 6f는 도 6e를 참조로 설명된 동일한 웨이퍼의 표면에 걸친 리소그래피의 초점의 깊이의 측정치를 예시하는 윤곽 플롯(155)이다.
도 6e 및 도 6f에 예시된 측정 결과는, DOE 웨이퍼와는 상이한 샘플 웨이퍼 상의 다수의 측정 사이트에서 수집되고 도 5에 예시된 방법(140)에 따라 프로세싱되는 측정치(즉, 측정된 스펙트럼)로부터 유도된다. 기저의 선량 및 초점 측정 모델은 방법(110)에 따라 전개되었고 도 6a 및 도 6b를 참조로 설명된 DOE 웨이퍼 상의 상이한 측정 사이트로부터 수집되는 측정 데이터로 트레이닝되었다. 도 6c 내지 도 6f에서 예시된 바와 같이, 상이한 초점 단계를 갖는 상이한 웨이퍼에 걸친 초점 및 노광의 값에서의 변동은 방법(110)에 따라 전개되는 측정 모델에 의해 캡쳐된다.
도 7a는, 초점 노광 매트릭스(FEM) 웨이퍼의 표면에 걸친 격리 구조체의 중간 임계 치수(MCD)의 측정치를 예시하는 윤곽 플롯(156)이다. 노광 선량은 웨이퍼에 걸쳐 x 방향에서 변하고 초점의 깊이는 웨이퍼에 걸쳐 y 방향에서 변한다. 예시된 바와 같이, MCD 값은, 웨이퍼의 표면에 걸친 초점 및 노광 선량에서의 차이로 인해 웨이퍼의 표면에 걸쳐 변한다. 도 7b는, 초점 노광 매트릭스(FEM) 웨이퍼의 표면에 걸친 고밀도 구조체의 중간 임계 치수(MCD)의 측정치를 예시하는 윤곽 플롯(157)이다. 노광 선량은 웨이퍼에 걸쳐 x 방향에서 변하고 초점의 깊이는 웨이퍼에 걸쳐 y 방향에서 변한다. 예시된 바와 같이, 고밀도 구조체에 대한 MCD 값도 또한 웨이퍼의 표면에 걸친 초점 및 노광 선량에서의 차이로 인해 웨이퍼의 표면에 걸쳐 변하지만, 격리된 구조체와는 상이한 방식으로 변한다.
도 7a 및 도 7b에 예시된 측정 결과는, FEM 웨이퍼 상의 다수의 측정 사이트에서 수집되고 도 5에 예시된 방법(140)에 따라 프로세싱되는 측정치(즉, 측정된 스펙트럼)로부터 유래한다. 기저의 격리된 MCD 측정 모델 및 고밀도 MCD 측정 모델 둘 모두는 방법(120)에 따라 전개되었고 동일한 FEM 웨이퍼 상의 상이한 측정 사이트로부터 수집되는 측정 데이터로 트레이닝되었다.
도 8a는 샘플 웨이퍼의 표면에 걸친 격리된 구조체의 MCD의 측정치를 예시하는 윤곽 플롯(158)이다. 도 8b는 샘플 웨이퍼의 표면에 걸친 고밀도 구조체의 MCD의 측정치를 예시하는 윤곽 플롯(159)이다. 샘플 웨이퍼는 특정한 초점의 깊이 및 노광 선량에서 프로세싱되었다. 특정한 초점 및 선량 값은 도 7a 및 도 7b에서 측정되는 FEM 웨이퍼의 중간 근처에서의 초점 및 선량 값과 대략 대응한다.
도 8a 및 도 8b에 예시된 측정 결과는, FEM 웨이퍼와는 상이한 샘플 웨이퍼 상의 다수의 측정 사이트에서 수집되고 도 5에 예시된 방법(140)에 따라 프로세싱되는 측정치(즉, 측정된 스펙트럼)로부터 유도된다. 기저의 격리된 MCD 측정 모델 및 고밀도 MCD 측정 모델 둘 모두는 방법(120)에 따라 전개되었고 FEM 웨이퍼 상의 상이한 측정 사이트로부터 수집되는 측정 데이터로 트레이닝되었다.
도 6a 내지 도 6f, 도 7a 및 도 7b, 및 도 8a 및 도 8b에 예시된 측정 결과는 상이한 측정 모델로부터 유도되었는데, 각각은 특정한 프로세스 또는 구조체 파라미터(예를 들면, 초점, 노광, 및 MCD)에 대응한다. 그러나, 일반적으로, 측정 모델은 하나 이상의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두의 특성을 묘사할 수도 있다.
도 12는 본원에서 제공되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 시스템(300)을 예시한다. 도 12에 도시된 바와 같이, 시스템(300)은 시료(301)의 하나 이상의 구조의 분광 타원편광 측정(spectroscopic ellipsometry measurement)을 수행하기 위해 사용된다. 이 양태에서, 시스템(300)은 조명기(illuminator; 302) 및 분광기(spectrometer; 304)를 구비하는 분광 타원편광기(spectroscopic ellipsometer)를 포함할 수도 있다. 시스템(300)의 조명기(302)는 선택된 파장 범위(예를 들면, 150-850 nm)의 조명을 생성하여 시료(301)의 표면 상에 배치된 구조체로 지향시키도록 구성된다. 그 다음, 분광기(304)는 시료(301)의 표면으로부터 반사되는 조명을 수신하도록 구성된다. 조명기(302)로부터 방출되는 광은 편광 상태 생성기(307)를 사용하여 편광되어 편광된 조명 빔(306)을 생성한다는 것을 더 유의해야 한다. 시료(301) 상에 배치된 구조체에 의해 반사되는 방사는 편광 상태 분석기(309)를 통해 분광기(304)로 전달된다. 집광 빔(collection beam)에서 분광기(304)에 의해 수신되는 방사는 편광 상태와 관련하여 분석되어, 분석기에 의해 통과되는 방사의 분광기에 의한 스펙트럼 분석을 허용한다. 이들 스펙트럼(311)은 구조체의 분석을 위해 컴퓨팅 시스템(330)으로 전달된다.
도 12에서 묘사된 바와 같이, 시스템(300)은 단일의 측정 기술(즉, SE)을 포함한다. 그러나, 일반적으로, 시스템(300)은 임의의 수의 상이한 측정 기술을 포함할 수도 있다. 비제한적인 예로서, 시스템(300)은 분광 타원편광기(뮐러(Mueller) 매트릭스 타원편광기를 포함함), 분광 반사계, 분광 산란계, 오버레이 산란계, 각도 분해 빔 프로파일 반사계(angular resolved beam profile reflectometer), 편광 분해 빔 프로파일 반사계, 빔 프로파일 반사계, 빔 프로파일 타원편광기, 임의의 단일의 또는 다수의 파장 타원편광기, 또는 이들의 임의의 조합으로서 구성될 수도 있다. 또한, 일반적으로, 상이한 측정 기술에 의해 수집되고 본원에서 설명되는 방법에 따라 분석되는 측정 데이터는, 다수의 기술을 통합하고 있는 하나의 툴 대신, 다수의 툴로부터 수집될 수도 있다.
추가 실시형태에서, 시스템(300)은 본원에서 설명되는 방법에 따라 전개되는 측정 모델에 기초하여 측정을 수행하기 위해 활용되는 하나 이상의 컴퓨팅 시스템(330)을 포함할 수도 있다. 하나 이상의 컴퓨팅 시스템(330)은 분광기(304)에 통신적으로 연결될 수도 있다. 일 양태에서, 하나 이상의 컴퓨팅 시스템(330)은 시료(301)의 구조체의 측정과 관련된 측정 데이터(311)를 수신하도록 구성된다.
본 개시에 걸쳐 설명되는 다양한 단계는 단일의 컴퓨터 시스템(330), 또는, 대안적으로, 다수의 컴퓨터 시스템(330)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 분광 타원평광기(304)와 같은 시스템(300)의 상이한 하위시스템은 본원에서 설명되는 단계의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서 해석되어선 안되며 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(330)은 본원에서 설명되는 방법 실시형태 중 임의의 실시형태의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
또한, 컴퓨터 시스템(330)은 기술분야에서 공지된 임의의 방식으로 분광기(304)에 통신적으로 커플링될 수도 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(330)은 분광기(304)와 관련되는 컴퓨팅 시스템에 커플링될 수도 있다. 다른 예에서, 분광기(304)는 컴퓨터 시스템(330)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
계측 시스템(300)의 컴퓨터 시스템(330)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 시스템(예를 들면, 분광기(304) 등등)의 하위시스템으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 컴퓨터 시스템(330)과 시스템(300)의 다른 하위시스템 사이의 데이터 링크로서 기능할 수도 있다.
통합형 계측 시스템(300)의 컴퓨터 시스템(330)은, 유선부 및/또는 무선무를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력치, 모델링 결과치 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 컴퓨터 시스템(330)과 기타 시스템(예를 들면, 계측 시스템(300) 상에 탑재된 메모리, 외부 메모리, 기준 측정 소스(320), 또는 다른 외부 시스템) 사이의 데이터 링크로서 기능할 수도 있다. 예를 들면, 컴퓨팅 시스템(330)은 데이터 링크를 통해 저장 매체(예를 들면, 메모리(332) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수도 있다. 예를 들면, 분광기(304)를 사용하여 획득된 스펙트럼 결과치는 영구적인 또는 반영구적인 메모리 디바이스(예를 들면, 메모리(332) 또는 외부 메모리)에 저장될 수도 있다. 이와 관련하여, 스펙트럼 결과치는 탑재형 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(가져오기)될 수도 있다. 또한, 컴퓨터 시스템(330)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 컴퓨터 시스템(330)에 의해 결정되는 시료 파라미터(340) 또는 통합형 측정 모델은 외부 메모리에 전달되어 저장될 수도 있다. 이와 관련하여, 측정 결과치는 다른 시스템으로 엑스포트(내보내기)될 수도 있다.
컴퓨팅 시스템(330)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 컴퓨터, 또는 기술분야에서 공지된 임의의 다른 디바이스를 포함할 수도 있지만, 이들에 한정되는 것은 아니다. 일반적으로, 용어 "컴퓨팅 시스템"은, 메모리 매체로부터의 명령을 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수도 있다.
본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령(334)은, 와이어, 케이블, 또는 무선 전송 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들면, 도 12에 예시된 바와 같이, 메모리(332)에 저장된 프로그램 명령(334)은 버스(333)를 통해 프로세서(331)로 송신된다. 프로그램 명령(334)은 컴퓨터 판독가능 매체(예를 들면, 메모리(332))에 저장된다. 예시적인 컴퓨터 판독가능 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
추가 양태에서, 다수의 타겟으로부터의 측정 데이터는 모델 구축, 트레이닝 및 측정을 위해 수집된다. 몇몇 예에서, 다수의 타겟과 관련되는 측정 데이터의 사용은, 측정 결과에서 하부층(under layer)의 영향을 제거하거나 또는 크게 감소시킨다. 일 예에서, 2개의 타겟으로부터의 측정 신호는, 각각의 측정 결과에서 하부층의 영향을 제거하거나, 또는 크게 감소시키기 위해 배제된다. 다수의 타겟과 관련되는 측정 데이터의 사용은 모델에 임베딩되는 샘플 및 프로세스 정보를 증가시킨다. 특히, 하나 이상의 측정 사이트(site)에서의 다수의 상이한 타겟의 측정치를 포함하는 트레이닝 데이터의 사용은 더 정확한 측정을 가능하게 한다.
일 예에서, 격리된 타겟 및 고밀도 타겟 둘 모두에 대한 FEM 웨이퍼의 스펙트럼 측정으로부터 측정 모델이 생성된다. 그 다음, 측정 모델은 예상된 응답 모델 및 스펙트럼 측정 데이터에 기초하여, 초점, 노광, 격리 타겟에 대한 MCD, 및 고밀도 타겟에 대한 MCD에 대해 각각 트레이닝된다. 결과적으로 나타나는 트레이닝된 측정 모델은, 후속하여, 초점, 노광, 및 샘플 웨이퍼 상의 격리된 타겟 및 고밀도 타겟 둘 모두에 대한 MCD를 계산하는 데 활용된다. 이 방식에서, 각각의 파라미터는, 격리된 타겟 및 고밀도 타겟 둘 모두와 관련되는 측정 스펙트럼(또는 추출된 피쳐)으로부터 파라미터를 계산하는 자기 고유의 트레이닝된 모델을 갖는다.
도 9a 및 도 9b와 도 10a 및 도 10b는 하부층 격자를 갖는 FEM 및 CDU 웨이퍼에 대한 초점 및 노광에 대한 측정 결과를 예시한다.
도 9a는 FEM 웨이퍼의 표면에 걸친 초점의 깊이의 측정치를 예시하는 윤곽 플롯(161)이다. 이 예에서, 초점은 웨이퍼에 걸쳐 x 방향에서 변하고 웨이퍼에 걸쳐 y 방향에서 일정하다. 도 9b는 FEM 웨이퍼의 표면에 걸친 노광 선량의 측정치를 예시하는 윤곽 플롯(162)이다. 예시된 바와 같이, 선량은 웨이퍼에 걸쳐 y 방향에서 변하고 웨이퍼에 걸쳐 x 방향에서 일정하다.
도 9a 및 도 9b에 예시된 측정 결과는, FEM 웨이퍼 상의 다수의 측정 사이트에서 수집되고 도 5에 예시된 방법(140)에 따라 프로세싱되는 측정치(즉, 측정된 스펙트럼)로부터 유래한다. 기저의 선량 및 초점 측정 모델은 방법(110)에 따라 전개되었고 동일한 DOE 웨이퍼 상의 상이한 측정 사이트로부터 수집되는 측정 데이터로 트레이닝되었다.
도 10a는 샘플 웨이퍼의 표면에 걸친 초점의 깊이의 측정치를 예시하는 윤곽 플롯(163)이다. 도 10b는 도 10a를 참조로 설명되는 동일한 웨이퍼의 표면에 걸친 노광 선량의 측정치를 예시하는 윤곽 플롯(164)이다. 샘플 웨이퍼는 특정한 초점의 깊이 및 노광 선량에서 프로세싱되었다. 특정한 초점 및 선량 값은 도 9a 및 도 9b에서 측정되는 FEM 웨이퍼의 중간 근처에서의 초점 및 선량 값과 대략 대응한다. 결과적으로, 도 10a 및 도 10b에 예시된 초점 및 노광 측정 결과는, 각각, 웨이퍼 표면에 걸친 초점 및 노광에서의 최소 변동을 나타낸다.
도 10a 및 도 10b에 예시된 측정 결과는, FEM 웨이퍼와는 상이한 웨이퍼 상의 다수의 측정 사이트에서 수집되고 도 5에 예시된 방법(140)에 따라 프로세싱되는 측정치(즉, 측정된 스펙트럼)로부터 유도된다. 기저의 선량 및 초점 측정 모델은 방법(110)에 따라 전개되었고 도 9a 및 도 9b를 참조로 설명된 DOE 웨이퍼 상의 상이한 측정 사이트로부터 수집되는 측정 데이터로 트레이닝되었다.
도 11은 FEM 웨이퍼로부터 수집되는 스펙트럼의 주요 성분 맵의 매트릭스(170)를 예시한다. 예시된 바와 같이, 제1 몇몇 주요 성분은, 초점 및 노광 매트릭스에 의해 생성되는 전역적 초점 및 노광 패턴을 대략적으로 반영한다(즉, 한 방향에서의 변동, 수직 방향에서 일정, 또는 그 반대) 7개보다 많은 주요 성분 맵은 랜덤한 하부층 변동, 라인 에지 거칠기(line edge roughness), 또는 다른 타입의 노이즈와 관련되는 노이즈 패턴을 나타낸다. 이 예에서, 초점 및 노광 모델을 트레이닝하는 데 처음 7개의 주요 성분만을 활용하는 것이 바람직하다. 이 방식에서, 노이즈를 주로 반영하는 주요 성분은 모델 구축, 및 후속하는 측정 분석을 위해 버려진다(truncated).
다른 추가 양태에서, 다수의 상이한 측정 기술의 조합에 의해 수행되는 측정으로부터 유도되는 측정 데이터는 모델 구축, 트레이닝, 및 측정을 위해 수집된다. 다수의 상이한 측정 기술과 관련되는 측정 데이터의 사용은 모델에 임베딩되는 샘플 및 프로세스 정보를 증가시키고 더 정확한 측정을 가능하게 한다. 측정 데이터는 다수의 상이한 측정 기술의 임의의 조합에 의해 수행되는 측정으로부터 유도될 수도 있다. 이 방식에서, 상이한 측정 사이트는 다수의 상이한 측정 기술에 의해 측정되어 반도체 구조체의 특성묘사에 이용가능한 측정 정보를 향상시킬 수도 있다.
일반적으로, 임의의 측정 기술, 또는 2개 이상의 측정 기술의 조합이 본 특허 문헌의 범위 내에서 고려될 수도 있다. 예시적인 측정 기술은, 뮐러 매트릭스 타원편광법을 포함하는 분광 타원평광법, 분광 반사측정법, 분광 산란측정법, 산란측정법 오버레이, 빔 프로파일 반사측정법, 각 분해 및 편광 분해 둘 모두의 빔 프로파일 타원편광법, 단일의 또는 다수의 이산 파장 타원편광법, TSAXS(transmission small angle x-ray scatterometer; 송신 소각 x 레이 산란계), SAXS(small angle x-ray scattering; 소각 x레이 산란), GISAXS(grazing incidence small angle x-ray scattering; 스침각 입사 소각 산란), WAXS(wide angle x-ray scattering; 광각 x 레이 산란), XRR(x-ray reflectivity: x 레이 반사율), XRD(x-ray diffraction; x 레이 회절), GIXRD(grazing incidence x-ray diffraction; 스침각 x 레이 회절), HRXRD(high resolution x-ray diffraction; 고분해능 x 레이 회절), XPS(x-ray photoelectron spectroscopy; x 레이 광전자 분광법), XRF(x-ray fluorescence; x 레이 형광), GIXRF(grazing incidence x-ray fluorescence; 스침각 x 레이 형광), x 레이 단층촬영법, 및 x 레이 타원편광법을 포함하지만, 이들에 한정되는 것은 아니다. 일반적으로, 이미지 기반 계측 기술을 포함하는, 반도체 구조체의 특성 묘사에 적용가능한 임의의 계측 기술이 고려될 수도 있다.
몇몇 예에서, 본원에서 설명되는 모델 구축, 트레이닝, 및 측정 방법은, 미국 캘리포니아 밀피타스의 KLA-Tencor Corporation으로부터 입수가능한 SpectraShape® 광학 임계 치수 계측 시스템의 엘리먼트로서 구현된다. 이 방식에서, 모델은, DOE 웨이퍼 스펙트럼이 시스템에 의해 수집된 직후에 생성되어 사용 준비가 이루어진다.
몇몇 다른 예에서, 본원에서 설명되는 모델 구축 및 트레이닝 방법은, 예를 들면, 미국 캘리포니아 밀피타스의 KLA-Tencor Corporation으로부터 입수가능한 AcuShape® 소프트웨어를 구현하는 컴퓨팅 시스템에 의해 오프라인에서 구현된다. 결과적으로 나타나는 트레이닝된 모델은, 측정을 수행하는 계측 시스템에 의해 액세스가능한 AcuShape® 라이브러리의 엘리먼트로서 통합될 수도 있다.
상기에서 리소그래피 프로세스 모델 및 관련 초점 및 노광 계측을 참조로 여러 예가 설명되었지만, 본원에서 설명되는 방법 및 시스템은 다른 프로세스 모델(예를 들면, 에칭 또는 퇴적 프로세싱), 및 다른 계측(예를 들면, 에칭 및 퇴적 계측)을 수반할 수도 있다. 본원에서 설명되는 방법 및 시스템은 다른 기준 계측 기술(예를 들면, SEM, TEM, AFM, X 레이)을 또한 수반할 수도 있다. 또한, 본원에서 설명되는 방법 및 시스템은 광학적 계측 시스템(예를 들면, 분광 타원편광기, 반사계, BPR 시스템 등등)을 참조로 논의되지만, 다른 모델 기반의 계측(예를 들면, 오버레이, CD-SAXS, XRR 등등)에도 또한 적용될 수 있다.
또 다른 양태에서, 본원에서 설명되는 측정 모델 결과는 프로세스 툴(예를 들면, 리소그래피 툴, 에칭 툴, 퇴적 툴 등등)로 능동 피드백을 제공하기 위해 사용될 수 있다. 예를 들면, 본원에서 설명되는 방법을 사용하여 결정되는 깊이 및 초점 파라미터의 값은, 소망의 출력을 달성하도록 리소그래피 시스템을 조정하기 위해, 리소그래피 툴로 전달될 수 있다. 유사한 방식으로, 에칭 툴 또는 퇴적 툴로 능동 피드백을 제공하기 위해, 에칭 파라미터(예를 들면, 에칭 시간, 확산성 등등) 또는 퇴적 파라미터(예를 들면, 시간, 농도 등등)가 측정 모델에, 각각, 포함될 수도 있다.
일반적으로, 본원에서 설명되는 시스템 및 방법은 오프라인 또는 온툴(on-tool) 측정을 위해 측정 모델을 준비하는 프로세스의 일부로서 구현될 수 있다. 또한, 측정 모델 및 임의의 재파라미터화된 측정 모델은 하나 이상의 타겟 구조체 및 측정 사이트를 설명할 수도 있다.
본원에서 설명되는 바와 같이, 용어 "임계 치수"는 구조체의 임의의 임계 치수(예를 들면, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이 등등), 임의의 2개 이상의 구조체 사이의 임계 치수(예를 들면, 두 구조체 사이의 거리), 및 2개 이상의 구조체 사이의 변위(예를 들면, 중첩하는 격자 구조체 사이의 오버레이 변위 등등)를 포함한다. 구조체는 3차원 구조체, 패턴화된 구조체, 오버레이 구조체 등등을 포함한다.
본원에서 설명되는 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본원에서 설명되는 바와 같이, 용어 "계측 시스템"은, 임계 치수 계측, 오버레이 계측, 초점/선량 계측, 및 조성 계측과 같은 측정 애플리케이션을 포함하는 임의의 양태에서 시료의 특성을 적어도 부분적으로 묘사하는 데 활용되는 임의의 시스템을 포함한다. 그러나, 기술분야의 이러한 용어는 본원에서 사용되는 바와 같은 용어 "계측 시스템"의 범위를 제한하지 않는다. 또한, 계측 시스템(100)은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 이면 검사 툴, 매크로 검사 툴 또는 멀티 모드 검사 툴(하나 이상의 플랫폼으로부터의 데이터를 동시에 포함함), 및 임계 치수 데이터에 기초한 시스템 파라미터의 캘리브레이션으로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴로서 구성될 수도 있다.
시료를 프로세싱하기 위해 사용될 수도 있는 반도체 프로세싱 시스템(예를 들면, 검사 시스템 또는 리소그래피 시스템)에 대한 다양한 실시형태가 본원에서 설명된다. 용어 "시료"는, 본원에서, 웨이퍼, 레티클, 또는 기술분야에서 공지된 수단에 의해 프로세싱될 수도 있는(예를 들면, 결함에 대해 검사되거나 또는 인쇄될 수도 있는) 임의의 다른 샘플을 지칭하기 위해 사용된다.
본원에서 사용되는 바와 같이, 용어 "웨이퍼"는 반도체 또는 비반도체 재료로 형성되는 기판을 일반적으로 지칭한다. 예는, 단결정 실리콘, 비화 갈륨, 및 인화 인듐을 포함하지만, 이들에 한정되는 것은 아니다. 이러한 기판은 일반적으로 발견될 수도 있고 및/또는 반도체 제조 설비에서 프로세싱될 수도 있다. 몇몇 경우에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 재료의 하나 이상의 층을 포함할 수도 있다. 웨이퍼 상에 형성되는 하나 이상의 층은 "패턴화되거나" 또는 "패턴화되지 않을" 수도 있다. 예를 들면, 웨이퍼는 반복가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 단계에서의 레티클일 수도 있거나, 반도체 제조 설비에서 사용을 위해 릴리스되거나 릴리스되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클, 또는 "마스크"는, 실질적으로 불투명한 영역이 상부에 형성되며 어떤 패턴으로 구성되는 실질적으로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예를 들면, 비정질 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은, 레티클 상의 패턴이 레지스트로 전사되도록, 리소그래피 프로세스의 노광 단계 동안 레지스트로 피복된 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에 형성되는 하나 이상의 층은 패턴화될 수도 있거나 또는 패턴화되지 않을 수도 있다. 예를 들면, 웨이퍼는, 반복 가능한 패턴 피쳐를 각각 구비하는 복수의 다이를 포함할 수도 있다. 이러한 층의 재료의 성형 및 프로세싱은 궁극적으로 완성된 디바이스로 귀결될 수도 있다. 많은 상이한 타입의 디바이스가 웨이퍼 상에 형성될 수도 있고, 본원에서 사용되는 바와 같은 용어 웨이퍼는, 기술분야에서 공지된 임의의 타입의 디바이스가 상부에서 제조되고 있는 웨이퍼를 포괄하도록 의도된다.
하나 이상의 예시적인 실시형태에서, 상술된 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현되면, 상기 기능은 하나 이상의 명령 또는 코드로서 컴퓨터 판독 가능한 매체 상에 저장되거나 또는 송신될 수도 있다. 컴퓨터 판독가능 매체는 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 가능하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체 둘 모두를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적의 컴퓨터에 의해 액세스될 수 있는 임의의 이용가능한 매체일 수도 있다. 비제한적인 예로서, 이러한 컴퓨터 판독 가능한 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 저장 디바이스, 또는 명령 또는 데이터 구조체의 형태로 소망의 프로그램 코드 수단을 이송 또는 저장하기 위해 이용될 수 있으며 또한 범용 컴퓨터나 특수 목적의 컴퓨터, 또는 범용 프로세서나 특수 목적의 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속은 컴퓨터 판독 가능한 매체로 적절히 칭해진다. 예를 들면, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 전송되면, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 디스크(disk) 및 디스크(disc)는, 본원에서 사용되는 바와 같이, 컴팩트 디스크(compact disc; CD), 레이저 디스크, 광학 디스크, 디지털 다기능 디스크(digital versatile disc; DVD), 플로피 디스크 및 블루레이 디스크를 포함하며, 여기서 디스크(disk)는 통상 자기적으로 데이터를 재생하고, 디스크(disc)는 레이저를 이용하여 광학적으로 데이터를 재생한다. 상기의 조합도 컴퓨터 판독가능 매체의 범위 내에 또한 포함되어야 한다.
소정의 특정 실시형태가 교육적인 목적을 위해 위에서 설명되었지만, 본 특허 문헌의 교시는 일반적인 적용가능성을 가지며 상기에서 설명된 특정 실시형태로 한정되는 것은 아니다. 따라서, 설명된 특정 실시형태의 다양한 피쳐의 다양한 수정예, 적응예, 및 조합은 특허청구범위에서 설명되는 바와 같은 본 발명의 범위를 벗어나지 않으면서 실시될 수 있다.

Claims (20)

  1. 방법에 있어서,
    적어도 하나의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두의 기지의 변동(known variation)을 갖는 반도체 웨이퍼의 표면 상의 제1 복수의 사이트(site)의 측정과 관련되는 제1 양의 측정 데이터(first amount of measurement data)- 상기 제1 양의 측정 데이터는 적어도 하나의 계측 기술에 의해 수행되는 측정으로부터 유도됨 - 를 수신하는 단계;
    상기 적어도 하나의 기지의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두의 각각의 예상된 응답 모델을 결정하는 단계;
    상기 제1 양의 측정 데이터에 적어도 부분적으로 기초하여 입력-출력 측정 모델을 결정하는 단계; 및
    상기 예상된 응답 모델로부터 결정되는 파라미터 값에 기초하여 상기 입력-출력 측정 모델을 트레이닝하는 단계를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 제1 계측 기술을 사용하는 제2 복수의 사이트의 측정과 관련되는 제2 양의 측정 데이터를 수신하는 단계;
    상기 트레이닝된 입력-출력 측정 모델에 대한 상기 제2 양의 측정 데이터의 피팅(fitting)에 기초하여 상기 제2 복수의 사이트의 각각과 관련되는, 적어도 하나의 프로세스 파라미터 값, 적어도 하나의 구조체 파라미터 값, 또는 둘 모두를 결정하는 단계; 및
    상기 적어도 하나의 프로세스 파라미터 값, 상기 적어도 하나의 구조체 파라미터 값, 또는 둘 모두 중 임의의 것을 메모리에 저장하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서,
    상기 제1 양의 측정 데이터는, 상기 반도체 웨이퍼의 상기 표면에 걸친 초점 및 노광 선량(exposure dosage)의 기지의 변동을 갖는 제1 복수의 사이트의 측정과 관련되는, 방법.
  4. 제1항에 있어서,
    상기 제1 양의 측정 데이터의 차원을 감소시키는 것에 의해 상기 제1 양의 측정 데이터의 하나 이상의 피쳐(feature)를 추출하는 단계를 더 포함하고, 상기 입력-출력 측정 모델을 결정하는 단계는 상기 하나 이상의 피쳐에 적어도 부분적으로 기초하는, 방법.
  5. 제4항에 있어서,
    상기 제1 양의 측정 데이터의 상기 차원을 감소시키는 것은, 주요 성분 분석, 비선형 주요 성분 분석, 상기 제1 양의 측정 데이터로부터의 개개의 신호의 선택, 및 상기 제1 양의 측정 데이터의 필터링 중 임의의 것을 수반하는, 방법.
  6. 제1항에 있어서,
    상기 예상된 응답 모델은 웨이퍼 맵 모델이고, 상기 웨이퍼 맵 모델을 결정하는 것은, 2차원 맵 함수를, 상기 제1 복수의 사이트와 관련되는, 상기 기지의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두에 피팅시키는 것을 수반하는, 방법.
  7. 제1항에 있어서,
    상기 반도체 웨이퍼 상에서 수행되는 프로세스의 시뮬레이션에 적어도 부분적으로 기초하여 상기 적어도 하나의 기지의 구조체 파라미터를 결정하는 단계를 더 포함하는, 방법.
  8. 제1항에 있어서,
    상기 적어도 하나의 기지의 구조체 파라미터와 관련되는 많은 양의(an amount of) 기준 측정 데이터에 적어도 부분적으로 기초하여 상기 적어도 하나의 기지의 구조체 파라미터를 결정하는 단계를 더 포함하는, 방법.
  9. 제1항에 있어서,
    상기 제1 양의 측정 데이터는 상기 제1 복수의 사이트 중 임의의 것에서의 하나 이상의 타겟 피쳐와 관련되는 측정 신호를 포함하는, 방법.
  10. 제1항에 있어서,
    상기 제1 양의 측정 데이터는 하나 이상의 계측 기술과 관련되는 측정 신호를 포함하는, 방법.
  11. 시스템에 있어서,
    타겟 구조체의 측정을 수행하도록 구성되는 검출기 및 조명 소스를 포함하는 계측 툴; 및
    컴퓨팅 시스템
    을 포함하고,
    상기 컴퓨팅 시스템은,
    상기 계측 툴로부터 제1 양의 측정 데이터 - 상기 제1 양의 데이터는 적어도 하나의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두의 기지의 변동을 갖는 반도체 웨이퍼의 표면 상의 제1 복수의 사이트의 측정과 관련됨 - 를 수신하도록;
    상기 적어도 하나의 기지의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두의 각각의 예상된 응답 모델을 결정하도록;
    상기 제1 양의 측정 데이터에 적어도 부분적으로 기초하여 입력-출력 측정 모델을 결정하도록;
    상기 예상된 응답 모델로부터 결정되는 파라미터 값에 기초하여 상기 입력-출력 측정 모델을 트레이닝하도록 구성되는, 시스템.
  12. 제11항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    제2 복수의 사이트의 측정과 관련되는 제2 양의 측정 데이터를 수신하도록;
    상기 트레이닝된 입력-출력 측정 모델에 대한 상기 제2 양의 측정 데이터의 피팅에 기초하여 상기 제2 복수의 사이트의 각각과 관련되는, 적어도 하나의 프로세스 파라미터 값, 적어도 하나의 구조체 파라미터 값, 또는 둘 모두를 결정하도록;
    상기 적어도 하나의 프로세스 파라미터 값, 상기 적어도 하나의 구조체 파라미터 값, 또는 둘 모두 중 임의의 것을 메모리에 저장하도록 구성되는, 시스템.
  13. 제11항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    상기 제1 양의 측정 데이터의 차원을 감소시키는 것에 의해 상기 제1 양의 측정 데이터의 하나 이상의 피쳐를 추출하도록 구성되고,
    상기 입력-출력 측정 모델을 결정하는 것은 상기 하나 이상의 피쳐에 적어도 부분적으로 기초하는, 시스템.
  14. 제13항에 있어서,
    상기 제1 양의 측정 데이터의 상기 차원을 감소시키는 것은, 주요 성분 분석, 비선형 주요 성분 분석, 상기 제1 양의 측정 데이터로부터의 개개의 신호의 선택, 및 상기 제1 양의 측정 데이터의 필터링 중 임의의 것을 수반하는, 시스템.
  15. 제11항에 있어서,
    상기 예상된 응답 모델은 웨이퍼 맵 모델이고, 상기 웨이퍼 맵 모델을 결정하는 것은, 2차원 맵 함수를, 상기 제1 복수의 사이트와 관련되는, 상기 기지의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두에 피팅시키는 것을 수반하는, 시스템.
  16. 제11항에 있어서,
    상기 제1 양의 측정 데이터는 상기 제1 복수의 사이트의 임의의 것에서의 하나 이상의 타겟 피쳐와 관련되는 측정 신호를 포함하는, 시스템.
  17. 제11항에 있어서,
    상기 제1 양의 측정 데이터는 하나 이상의 계측 기술과 관련되는 측정 신호를 포함하는, 시스템.
  18. 방법에 있어서,
    제1 반도체 웨이퍼 상의 반도체 타겟의 측정과 관련되는 제1 양의 측정 데이터를 수신하는 단계;
    트레이닝된 입력-출력 측정 모델에 대한 상기 제1 양의 측정 데이터의 피팅에 기초하여, 적어도 하나의 프로세스 파라미터 값, 적어도 하나의 구조체 파라미터 값, 또는 둘 모두를 결정하는 단계; 및
    상기 적어도 하나의 프로세스 파라미터 값, 상기 적어도 하나의 구조체 파라미터 값, 또는 둘 모두 중 임의의 것을 메모리에 저장하는 단계를 포함하는, 방법.
  19. 제18항에 있어서,
    상기 트레이닝된 입력-출력 측정 모델을 생성하는 단계를 더 포함하고, 상기 생성하는 단계는:
    적어도 하나의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두의 기지의 변동을 갖는 제2 반도체 웨이퍼 상의 상기 반도체 타겟의 측정과 관련되는 상기 제2 양의 측정 데이터를 수신하는 단계;
    상기 적어도 하나의 기지의 프로세스 파라미터, 구조체 파라미터, 또는 둘 모두의 각각의 예상된 응답 모델을 결정하는 단계;
    상기 제2 양의 측정 데이터에 적어도 부분적으로 기초하여 입력-출력 측정 모델을 결정하는 단계; 및
    상기 예상된 응답 모델로부터 결정되는 파라미터 값에 기초하여 상기 입력-출력 측정 모델을 트레이닝하는 단계를 포함하는, 방법.
  20. 제19항에 있어서,
    상기 제2 양의 측정 데이터의 차원을 감소시키는 것에 의해 상기 제2 양의 측정 데이터의 하나 이상의 피쳐 - 상기 입력-출력 측정 모델을 결정하는 단계는 상기 하나 이상의 피쳐에 적어도 부분적으로 기초함 - 를 추출하는 단계; 및
    상기 제1 양의 측정 데이터의 차원을 감소시키는 것에 의해 상기 제1 양의 측정 데이터의 하나 이상의 피쳐 - 상기 적어도 하나의 프로세스 파라미터 값, 적어도 하나의 구조체 파라미터 값, 또는 둘 모두를 결정하는 단계는 상기 하나 이상의 피쳐에 적어도 부분적으로 기초함 - 를 추출하는 단계를 더 포함하는, 방법.
KR1020157030982A 2013-03-27 2014-03-25 통계적 모델 기반 계측 KR102035376B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361805831P 2013-03-27 2013-03-27
US61/805,831 2013-03-27
US14/223,045 2014-03-24
US14/223,045 US10101670B2 (en) 2013-03-27 2014-03-24 Statistical model-based metrology
PCT/US2014/031773 WO2014160731A1 (en) 2013-03-27 2014-03-25 Statistical model-based metrology

Publications (2)

Publication Number Publication Date
KR20150136524A true KR20150136524A (ko) 2015-12-07
KR102035376B1 KR102035376B1 (ko) 2019-10-23

Family

ID=51621663

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157030982A KR102035376B1 (ko) 2013-03-27 2014-03-25 통계적 모델 기반 계측

Country Status (5)

Country Link
US (1) US10101670B2 (ko)
EP (1) EP2979297B1 (ko)
KR (1) KR102035376B1 (ko)
TW (1) TWI615909B (ko)
WO (1) WO2014160731A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190132280A (ko) * 2018-05-18 2019-11-27 칼 짜이스 에스엠티 게엠베하 변환 모델을 이용하여 포토리소그라피 공정의 요소를 분석하기 위한 장치 및 방법

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140242880A1 (en) * 2013-02-26 2014-08-28 Applied Materials, Inc. Optical model with polarization direction effects for comparison to measured spectrum
US9255877B2 (en) * 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
CN104061857A (zh) * 2014-06-16 2014-09-24 江西赛维Ldk太阳能高科技有限公司 导轮结构参数检测方法
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US10139352B2 (en) 2014-10-18 2018-11-27 Kla-Tenor Corporation Measurement of small box size targets
US9710728B2 (en) 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10345095B1 (en) 2014-11-20 2019-07-09 Kla- Tencor Corporation Model based measurement systems with improved electromagnetic solver performance
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US9470639B1 (en) 2015-02-03 2016-10-18 Kla-Tencor Corporation Optical metrology with reduced sensitivity to grating anomalies
US10185303B2 (en) 2015-02-21 2019-01-22 Kla-Tencor Corporation Optimizing computational efficiency by multiple truncation of spatial harmonics
US10365225B1 (en) 2015-03-04 2019-07-30 Kla-Tencor Corporation Multi-location metrology
US10502549B2 (en) * 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
CN104764427A (zh) * 2015-04-20 2015-07-08 湖州以创精工机械有限公司 一种工件细小部位检测方法
KR102512180B1 (ko) 2015-04-28 2023-03-20 케이엘에이 코포레이션 계산 효율적인 x 선 기반의 오버레이 측정
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
US10061210B2 (en) * 2015-07-31 2018-08-28 Nanometrics Incorporated 3D target for monitoring multiple patterning process
US10380728B2 (en) * 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
JP6495789B2 (ja) * 2015-09-11 2019-04-03 東芝メモリ株式会社 形状算出プログラム、形状算出装置および形状測定方法
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
US10139358B2 (en) * 2016-01-11 2018-11-27 International Business Machines Corporation Method for characterization of a layered structure
US9921152B2 (en) 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US10504759B2 (en) * 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
CN109073902B (zh) 2016-04-22 2020-12-29 科磊股份有限公司 用于小光斑大小透射小角x射线散射术的光束整形狭缝
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US11313809B1 (en) 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US9921104B2 (en) 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10215693B2 (en) 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
US10490462B2 (en) 2016-10-13 2019-11-26 Kla Tencor Corporation Metrology systems and methods for process control
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
WO2018075808A1 (en) 2016-10-20 2018-04-26 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US10503850B2 (en) * 2016-11-22 2019-12-10 Tokyo Electron Limited Generation of a map of a substrate using iterative calculations of non-measured attribute data
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
US10921369B2 (en) 2017-01-05 2021-02-16 Xcalipr Corporation High precision optical characterization of carrier transport properties in semiconductors
US10861755B2 (en) * 2017-02-08 2020-12-08 Verity Instruments, Inc. System and method for measurement of complex structures
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10474042B2 (en) * 2017-03-22 2019-11-12 Kla-Tencor Corporation Stochastically-aware metrology and fabrication
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US11378451B2 (en) 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
US10732515B2 (en) 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
US10551166B2 (en) 2017-10-11 2020-02-04 Kla-Tencor Corporation Optical measurement of a highly absorbing film layer over highly reflective film stacks
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US10580673B2 (en) 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11036898B2 (en) 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10677586B2 (en) 2018-07-27 2020-06-09 Kla-Tencor Corporation Phase revealing optical and X-ray semiconductor metrology
US11062928B2 (en) 2019-10-07 2021-07-13 Kla Corporation Process optimization using design of experiments and response surface models
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US10801953B2 (en) 2019-01-11 2020-10-13 Kla-Tencor Corporation Semiconductor metrology based on hyperspectral imaging
US10804167B2 (en) 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11137350B2 (en) 2019-01-28 2021-10-05 Kla Corporation Mid-infrared spectroscopy for measurement of high aspect ratio structures
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
US10990019B2 (en) * 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
KR20230035249A (ko) * 2020-07-06 2023-03-13 노바 엘티디. 샘플의 파라미터 측정을 제어하기 위한 시스템 및 방법
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
US12013355B2 (en) 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
TWI786566B (zh) * 2021-03-11 2022-12-11 南亞科技股份有限公司 半導體結構製造方法與半導體結構製造系統
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
WO2007092332A1 (en) * 2006-02-02 2007-08-16 Therma-Wave, Inc. High resolution monitoring of cd variations

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5867276A (en) * 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7171284B2 (en) 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4660694B2 (ja) 2005-06-28 2011-03-30 コニカミノルタセンシング株式会社 分光装置の波長校正方法及び分光装置
US8032349B2 (en) 2007-01-25 2011-10-04 International Business Machines Corporation Efficient methodology for the accurate generation of customized compact model parameters from electrical test data
US7949490B2 (en) 2007-08-30 2011-05-24 Tokyo Electron Limited Determining profile parameters of a structure using approximation and fine diffraction models in optical metrology
US8666703B2 (en) * 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
WO2007092332A1 (en) * 2006-02-02 2007-08-16 Therma-Wave, Inc. High resolution monitoring of cd variations
US20090259605A1 (en) * 2006-02-02 2009-10-15 Kla Tencor Corporation High resolution monitoring of cd variations

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190132280A (ko) * 2018-05-18 2019-11-27 칼 짜이스 에스엠티 게엠베하 변환 모델을 이용하여 포토리소그라피 공정의 요소를 분석하기 위한 장치 및 방법
US12001145B2 (en) 2018-05-18 2024-06-04 Carl Zeiss Smt Gmbh Apparatus and method for analyzing an element of a photolithography process with the aid of a transformation model

Also Published As

Publication number Publication date
US20140297211A1 (en) 2014-10-02
TWI615909B (zh) 2018-02-21
WO2014160731A1 (en) 2014-10-02
TW201448081A (zh) 2014-12-16
EP2979297A4 (en) 2017-02-22
EP2979297A1 (en) 2016-02-03
EP2979297B1 (en) 2020-12-02
US10101670B2 (en) 2018-10-16
KR102035376B1 (ko) 2019-10-23

Similar Documents

Publication Publication Date Title
KR102035376B1 (ko) 통계적 모델 기반 계측
JP6983944B2 (ja) 画像ベースの測定のための方法および測定システム
US9875946B2 (en) On-device metrology
KR102468971B1 (ko) 모델 기반 단일 파라미터 측정
KR102184029B1 (ko) 이미지 기반 오버레이 측정을 위한 신호 응답 계측
KR102013483B1 (ko) 파라미터 추적을 위한 계측 시스템 최적화
KR102245695B1 (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
KR102196370B1 (ko) 프록시 구조의 측정에 기초한 신호 응답 계측
KR102579585B1 (ko) 다중 패턴화 파라미터의 측정
KR102245698B1 (ko) 다중 패턴화 프로세스의 계측
US8843875B2 (en) Measurement model optimization based on parameter variations across a wafer
TW201702775A (zh) 以模型為基礎之熱點監測

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant