KR20150067390A - 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법 - Google Patents

현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법 Download PDF

Info

Publication number
KR20150067390A
KR20150067390A KR1020157014001A KR20157014001A KR20150067390A KR 20150067390 A KR20150067390 A KR 20150067390A KR 1020157014001 A KR1020157014001 A KR 1020157014001A KR 20157014001 A KR20157014001 A KR 20157014001A KR 20150067390 A KR20150067390 A KR 20150067390A
Authority
KR
South Korea
Prior art keywords
hard mask
layer
substrate
group
composition
Prior art date
Application number
KR1020157014001A
Other languages
English (en)
Other versions
KR101727842B1 (ko
Inventor
샘 엑스. 선
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20150067390A publication Critical patent/KR20150067390A/ko
Application granted granted Critical
Publication of KR101727842B1 publication Critical patent/KR101727842B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

현상제에 용해성인 신규한 경질 마스크 조성물 및 상기 조성물을 사용하여 마이크로전자 구조물을 제조하는 방법이 제공된다. 조성물은 용매 시스템에 현상 속도 조절을 위한 화합물로서 화학식 (I)의 화합물, 그리고 가교제를 포함한다. 상기 방법에는 기판에 조성물을 도포하고 조성물을 경화하는 방법이 포함된다. 이미징 레이어를 조성물에 도포하고, 노광과 현상이 이어지며, 현상하는 동안 이미징 레이어의 노광된 부분이 상기 노광된 부분에 인접한 경질 마스크 조성물 부분과 함께 제거된다. 경질 마스크 조성물 구조물의 크기는 현상 속도로써 조절되고 이미징 레이어 피처 사이즈보다 더 적은 피처 사이즈를 야기하며, 최종적으로는 기판에 전사될 수 있는 패턴을 제공한다.
Figure pat00013
(I)

Description

현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법 {METHOD OF CREATING PHOTOLITHOGRAPHIC STRUCTURES WITH DEVELOPER-TRIMMED HARD MASK}
관련 출원
본 출원은 발명의 명칭이 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법인, 2006년 9월 25일에 출원된 일련번호 60/826,875의 가출원을 우선권으로 주장하고, 이는 본 명세서에 참고문헌으로 첨부된다.
발명의 분야
본 발명은 신규한 현상제 용해성의(developer-soluble) 경질 마스크 조성물 및 경질 마스크 조성물을 사용하여 반도체 기판에 구조물(structure)을 형성하는 방법에 널리 관한다.
집적회로 칩 제조사들이 계속하여 더 높은 회로 밀도를 요구함에 따라, 이러한 회로를 제작하는 공정은 끊임없이 포토리소그래피(photolithography)의 한계에 도전하고 있다. 이에 대해서 최근 몇 년간 이루어진 가장 두드러진 성취는 ArF 기술의 완성과 액침(immersion) 포토리소그래피의 발전이다.
이러한 기술에서 기울이는 노력은, 광학의 기본 원리, 즉 투사이미지 해상도가 투사렌즈의 수치구경(numerical aperture)과 입사 파장의 역수에 비례한다는 것에 기초한다. 그러나 해상도를 향상시키기 위하여 이러한 원리를 사용하는 대가로 초점심도(depth of focus (DOF))가 상당히 감소된다.
DOF는 포토리소그래피 공정의 강건성(robustness) 및 궁극적으로 최종 디바이스(device)의 수율(yield)을 결정하는 매우 실질적인 사안이다. DOF 손실을 보상하기 위하여, 감광제(photoresist) 두께가 얇아져야 한다. 유감스럽게도, 전통적인 단일레이어(single-layer) 설계에서는 감광제 두께 감소에 한계가 있다. 한계는 식각(etch) 깊이 및 기판에 대한 감광제의 선택도에 따라 결정된다. ArF 감광제의 식각 선택도는 최근 몇 년간 상당히 향상되어 온 반면, 유기 고분자계 감광제의 개선은 미미했다.
식각 선택도 측면에서 감광제 두께를 줄이기 위하여 이중레이어(bilayer) 또는 다중레이어(multilayer) 설계 같은 여러 가지 새로운 포토리소그래피 공정이 탐구되어 왔다. 사용되어 온 다른 선택사항은 등방성(isotropic) 식각 동안의 감광제 트리밍(trimming) 공정이다. 트리밍 공정은 포토리소그래피의 능력 이상으로 피처(feature) 크기를 줄일 수 있는데, 예를 들어 트리밍된 라인(trimmed line)은 디바이스 속도를 향상시키기 위한 트랜지스터 게이트를 제작하는데 매우 중요할 수 있다. 그러나 이 공정에는 두 가지의 근본적인 단점이 있다. 첫 번째, 감광제 패턴이 수평 뿐만 아니라 수직으로도 트리밍된다는 점이다. 본질적으로, 수직 식각률(etch rate)은 보통 수평 식각률의 세 배이다. 따라서 이미 딱 맞게 예상된 감광제 두께가 트리밍 공정에서 상당히 손실된다. 두 번째, 트리밍 플라스마(plasma)는 불가피하게 아래에 있는 레이어를 식각할 것이다. 이러한 원하지 않는 식각은 때로 심각할 수 있다.
발명의 요약
본 발명은 상기한 결점을 가지지 않는 신규한 조성물 및 방법을 제공하여 선행 기술의 문제점을 극복한다. 본 발명은 경질 마스크 레이어로서 유용한 조성물을 포함한다. 상기 조성물은 다음을 포함한다:
*(A)
Figure pat00001
,
(B) 적어도 하나의 -OH기를 포함하는 화합물;
(C) 가교제(crosslinking agent); 및
(D) 용매 시스템, 여기서 (A), (B), (C)는 상기 용매 시스템에 용해되거나 분산된다.
또한 본 발명은 이러한 현상제에 용해성인 경질 마스크 조성물을 사용하여 나노크기 피처를 가지는 마이크로전자 구조물을 형성하는 방법을 제공한다. 본 발명은 또한 이러한 방법으로 형성된 구조물에 관한다.
추가의 구체예에서, 본 발명은 표면을 가지는 마이크로전자 기판, 및 그 기판 표면 또는 기판 표면상의 중간 레이어 위의 T-형 구조물을 포함하는 마이크로전자 구조물을 제공한다. 이 T-형 구조물은 서로 마주하는 수직 측면벽에 의하여 연결된 상부와 하부를 가지는 수직 다리를 포함한다. 하부는 기판 표면 또는 중간 레이어와 접촉하고, 수직 측면벽과 기판 표면이 약 80˚ 내지 약 100˚의 각도를 형성한다. 상기 다리는 수직 측면벽 사이의 가장 먼 거리에서 측정되는 "W"를 가진다. 이 T-형 구조물은 대체로 수평인 부분을 또한 포함한다. 이 대체로 수평인 부분은 상부 표면을 가지고 상부 또는 상부상의 중간 레이어에 인접한다. 상기 대체로 수평인 부분은 또한 상기 수직 측면벽에 대체로 수직이다. 상기 T-형 구조물은 기판 표면에서 윗면까지의 가장 먼 거리로 정의되는 높이 "H"를 가지고, 여기서 "H"/"W"는 약 2 내지 약 5이다.
도 1A-1D은 본 발명 공정의 한 구체예를 나타내는 개요도이다;
도 2A-2D는 이중 패턴화(double-patterning) 공정이 사용되는 본 발명의 또 다른 공정을 나타내는 개요도이다;
도 3은 실시예 2에서 시험된 웨이퍼의 단면을 보여주는 주사전자현미경(scanning electronic microscope) (SEM, 200 KX) 사진이다;
도 4는 실시예 3에서 시험된 웨이퍼의 단면을 보여주는 SEM (200KX) 사진이다;
도 5는 실시예 4에서 시험된 웨이퍼의 단면을 보여주는 SEM 사진이다;
도 6은 실시예 5에서 시험된 웨이퍼의 단면을 보여주는 SEM (150 KX) 사진이다;
도 7은 실시예 7에서 시험된 웨이퍼의 단면을 보여주는 SEM (200 KX) 사진이다.
본 발명은 마이크로전자 디바이스를 제작(fabrication)하는 동안에 경질 마스크로서 유용한 신규한 조성물 및 경질 마스크를 사용하는 신규한 방법에 관한다. 상기 조성물은 바람직하게는
(Ⅰ)
Figure pat00002
을 포함하고,
여기서 M은 Ti와 Zr로 이루어진 그룹에서 선택되고, 각각의 R은 수소와 알킬(분지형 또는 비분지형 - 바람직하게는 대략 C1에서 대략 Cn, 더욱 바람직하게는 대략 C1에서 대략 C6)로 이루어진 그룹에서 개별적으로 선택된다. 특히 바람직한 구체예에서, R은 수소, -CH3, -C3H7로 이루어진 그룹에서 선택된다 (상표명 Tyzor®로 DuPont에서 구입가능).
상기 조성물은, 조성물의 총 중량을 100중량%로 취한 것을 기준으로, 바람직하게는 약 1 중량% 내지 약 20중량%, 더욱 바람직하게는 약 2중량% 내지 약 15중량%, 더욱 더 바람직하게는 약 3중량% 내지 10중량%의 화학식(Ⅰ) 화합물을 포함한다. 특히, 화학식(Ⅰ) 화합물은 고체의 총 중량을 100중량%로 취한 것을 기준으로, 약 5중량% 내지 약 40중량%, 더욱 바람직하게는 약 10중량% 내지 약 30중량% 수준의 M을 포함한다.
화학식(Ⅰ) 화합물 이외에도 조성물은 또한 바람직하게는, 상기 화학식(Ⅰ)의 M과 공유 결합을 형성할 수 있는 하나 이상의 작용기를 포함하는 화합물(Ⅱ)를 포함한다. 이러한 바람직한 작용기는 -OR1을 포함하는데, 여기서 R1은 수소와 알킬(분지형 또는 비분지형 - 바람직하게는 대략 C1에서 대략 C12, 더욱 바람직하게는 대략 C1에서 C6)로 이루어진 그룹에서 선택된다. 특히 바람직한 구체예에서, 이 작용기는 -OH와 -OC2H5로 이루어진 그룹에서 선택된다.
화합물(Ⅱ)는 전자등급(electronic grade)이어야 하고, 또한 경질 마스크 배합물(formulation)에서 안정해야 한다. 즉, 화합물은 주위의 저장 환경(예를 들어, 20-25℃)에서 적어도 약 30일 동안 용액에 잔존해야 한다.
이 화합물(Ⅱ)는 현상 속도 제어에 중요하다. 다시 말해서, 상기 화합물을 다량 사용하면 빠른 현상 속도를 가지는 조성물을 얻을 것이고, 반면에 소량 사용하면 느린 현상 속도를 가지는 조성물을 얻을 것이다. 당업자는 화합물의 양을 조절해서, 수행하는 특정 공정을 위한 원하는 현상 속도를 달성할 수 있다. 그렇기는 하지만, 화합물의 양은 조성물의 총 중량을 100중량%로 취한 것을 기준으로, 보통 약 0.1중량% 내지 약 15중량%, 더욱 바람직하게는 약 0.2중량% 내지 약 10중량%, 더욱 더 바람직하게는 약 0.2중량% 내지 약 2중량%일 것이다.
한 구체예에서, 이 화합물(Ⅱ)는 또한 원하는 파장의 빛을 흡수하도록 선택된 광감쇠(light attenuating) 부분을 포함할 수 있다. 이러한 부분은 약 400 nm 내지 약 10 nm 파장의 빛을 흡수하도록 선택될 수 있고, 적절한 광흡수 부분의 예에는 벤질 고리가 포함된다. 본 발명에서 사용하기 위한 한 바람직한 화합물(Ⅱ)는 다음 화학식을 가진다.
Figure pat00003
본 발명의 조성물은 또한 바람직하게는 가교제를 포함한다. 바람직한 가교제는 아미노플라스트(aminoplast), 글리코우릴(glycouril), 에폭시로 이루어진 그룹에서 선택되는 가교제이고, 아미노플라스트가 가장 바람직하다. 특히 바람직한 가교제(crosslinker) 군이 Cymel®이라는 상표명으로 Cytec Industries Inc.에 의하여 판매된다. 가교제는 조성물의 총 중량을 100중량%로 취한 것을 기준으로, 바람직하게는 약 0.1중량% 내지 약 15중량%, 더욱 바람직하게는 약 1% 내지 약 10중량%, 더욱 더 바람직하게는 약 1중량% 내지 약 5중량% 수준으로 조성물에 포함된다.
또한 조성물은 원하는 경우에 다양한 임의선택 성분을 포함할 수 있다. 적절한 임의선택 성분의 예에는 계면활성제(surfactant)와 접착 촉진제(adhesion promoter)로 이루어진 그룹에서 선택된 것이 포함된다. 한 구체예에서, 조성물이 임의의 촉매를 실질적으로 포함하지 않는 것이 바람직하다 (즉, 약 0.1중량% 이하, 바람직하게는 약 0중량%). 촉매는 광산발생제(photoacid generator) 및 빛에 노출시키거나 열로 고분자화 또는 가교를 개시할 수 있는 임의의 다른 작용제(agent)를 포함한다. 따라서 조성물은 바람직하게는 비감광성(non-photosensitive)이다 (즉, 약 1 J/㎠에 노출될 경우에는 레이어에 패턴이 결정될 수 없다).
상기 모든 성분들은 용매 시스템에 분산되거나 용해된다. 경질 마스크 조성물에서 사용되는 용매 시스템은 약 100℃ 내지 약 300℃, 바람직하게는 약 120℃ 내지 약 200℃의 끓는점을 가져야 한다. 사용되는 용매 시스템은 경질 마스크 조성물의 총 중량을 100중량%로 취한 것을 기준으로 약 70% 내지 약 98중량%, 바람직하게는 약 80% 내지 약 95중량% 수준이어야 한다. 바람직한 용매 시스템은 유기용매이고, 프로필렌글리콜 프로필 에테르, 메틸 이소아밀 케톤, 디(에틸렌 글리콜) 디메틸 에테르, 에틸-3-에톡시프로피오네이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 락테이트, 사이클로헥사논, 및 이들의 혼합물로 이루어진 그룹에서 선택된 용매가 이에 포함된다.
본 발명의 공정은 도 1에 도해되고, 도 1A에서 기판(10)이 제공된다. 기판(10)은 실리콘, 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 알루미늄, 텅스텐, 텅스텐 실리사이드, 갈륨 아르세나이드, 게르마늄, 탄탈럼, 탄탈럼 니트라이트 및 SiGe로 이루어진 그룹에서 선택된 것을 포함하는 임의의 전형적인 마이크로전자 기판일 수 있다. 기판(10)은 윗면(12)과 아랫면(14)을 가지고, 명시된 구체예에 아랫면(14)에 인접한 식각저지 레이어(etch stop layer)(16)가 나타난다.
상기 기재된 것과 같은 경질 마스크 조성물은 기판(10)의 윗면(12)에 도포되어 윗면(20)을 가지는 경질 마스크 레이어(18)를 형성한다. 조성물은 공지된 임의의 도포 방법으로 도포될 수 있고, 바람직한 한 방법은 약 1,000 rpm 내지 약 3,000 rpm, 바람직하게는 약 1,500 rpm 내지 약 2,500 rpm의 속도에서, 약 10초 내지 약 90초, 바람직하게는 약 20초 내지 약 60초 동안 조성물을 스핀 코팅(spin-coating)하는 것이다. 한편 도 1A에 도시된 구체예가 기판(10)의 윗면(12)에 직접 도포된 레이어(18)를 나타내기는 하지만, 여러 가지의 임의선택적인 중간 레이어(예를 들어, 반사 방지 레이어, 저 k 유전 레이어, 실리콘 니트라이드 레이어, 카본 필름)가 기판(10)과 레이어(18) 사이에 포함되고 레이어(18)가 중간 레이어의 최상층에 도포될 수 있다는 것이 이해될 것이다.
이후 레이어(18)는 용매를 제거하기 위하여 선택적으로 도포-후 열처리(post-application bake)를 거칠 수 있다. 도포-후 열처리는 전형적으로 약 80℃ 내지 약 160℃, 더욱 바람직하게는 약 100℃ 내지 약 140℃의 온도에서, 약 10초 내지 약 60초, 더욱 바람직하게는 약 20초 내지 약 40초 동안 수행될 수 있다. 이후 레이어(18)는 전형적으로 약 160℃ 내지 약 200℃, 더욱 바람직하게는 약 175℃ 내지 약 185℃의 온도에서, 약 30초 내지 약 90초, 더욱 바람직하게는 약 40초 내지 약 60초 동안 경화 열처리(curing bake)를 거친다.
이러한 경화 열처리 동안, 경질 마스크 레이어(18) 내의 성분은 서로 반응하여 경화된 레이어를 형성할 것이다. 상기 기재된 조성물이 경질 마스크 조성물로서 사용되는 구체예에서, 화학 반응이
(Ⅲ)
Figure pat00004
(Ⅳ)
Figure pat00005
및 이들의 혼합물로 이루어진 그룹에서 선택된 성분 사이에서 일어나 결합을 형성할 것이고, M과 R은 앞에서 정의된 바와 같다. 가교제가 화학식(Ⅰ) 화합물과 반응하지 않지만, 대신 가교제가 자체적으로 가교하고, 화합물(Ⅱ)와 반응할 수도 있어 (Ⅲ)과 (Ⅳ)에 의하여 형성된 "매트릭스(matrix)" 내의 공간을 채운다는 것이 알려져 있다.
경화된 레이어(18)의 전형적인 두께는 약 20 nm 내지 약 120 nm, 더욱 바람직하게는 약 30 nm 내지 약 70 nm일 것이다. 레이어(18)가 반사 방지 특성을 제공하도록 배합된(formulated) 구체예에서, 경화된 레이어의 k 값은 약 400 nm 내지 약 10 nm의 파장에서 적어도 약 0.1, 바람직하게는 약 0.2 내지 약 0.6이다. 경화된 레이어의 n 값은 약 400 nm 내지 약 10 nm의 파장에서 적어도 약 1.0, 바람직하게는 약 1.5 내지 약 2.0이다.
경화된 레이어(18)는 전형적인 감광제 용매에서 실질적으로 불용성일 것이다. 따라서 스트리핑(stripping) 시험을 거칠 경우, 레이어(14)는 약 5% 이하, 바람직하게는 약 1% 이하, 더욱 더 바람직하게는 약 0%의 퍼센트 스트리핑을 가질 것이다. 스트리핑 시험은 먼저 경화된 레이어의 두께 결정을 포함한다 (다섯 군데의 상이한 위치에서 측정치를 평균하여 얻음). 이것이 초기 평균 필름 두께이다. 다음, 용매(예를 들어, 에틸 락테이트, PGME, PGMEA)를 필름에 약 20초 동안 퍼들링하고, 이어서 약 2,000-3,500 rpm에서 약 20-30초 동안 스핀건조하여 용매를 제거한다. 웨이퍼의 다섯 군데의 위치에서 타원편광법(ellipsometry)을 이용하여 두께를 다시 측정하고, 측정치 평균을 결정한다. 이것이 최종 평균 필름 두께이다.
스트리핑의 양은 초기 평균 필름 두께와 최종 평균 필름 두께의 차이이다. 퍼센트 스트리핑은:
% 스트리핑 = (스트리핑의 양/초기 평균 필름 두께) X 100
레이어(18)를 경화한 후, 이미징 레이어 또는 감광제(즉, 감광성) 조성물은 레이어(18)의 윗면(20)에 도포되어 윗면(24)을 가지는 이미징 레이어(22)를 형성한다. 감광제 조성물은 상업적으로 입수할 수 있는 임의의 감광제일 수 있고, 사용 파장에 따라 선택될 수 있다. 감광제는 전형적으로 스핀-도포되지만(예를 들어, 약 60초 동안 약 1,500 rpm 내지 약 2,500 rpm으로), 공지된 임의의 도포 방법이 사용될 수 있다. 이어서 레이어(22)는 전형적으로 약 80℃ 내지 약 120℃의 온도에서 열처리된다. 이들은 단순이 예시적인 스핀 속도와 시간 및 열처리 온도와 시간일 뿐이다. 이러한 변수는 당업자가 선택할 수 있고, 감광제 조성물 생산자의 권장사항을 따를 수도 있다.
열처리 후 이미징 레이어(22)의 두께는 전형적으로 약 250 nm 이하, 바람직하게는 약 100 nm 이하, 더욱 바람직하게는 약 50 nm 이하, 더욱 더 바람직하게는 약 20 nm 내지 약 40 nm이다. 또한, 도 1A에 나타난 구체예가 경질 마스크 레이어(18)의 윗면(20)에 직접 도포되는 이미징 레이어(22)를 나타내기는 하지만, 상기 나열된 여러 가지의 임의선택적인 중간 레이어가 이미징 레이어(22)와 경질 마스크 레이어(18) 사이에 포함될 수 있고, 이미징 레이어(22)가 가장 위의 중간 레이어에 도포될 수 있다는 것이 이해될 것이다.
마스크(나타나지 않음)는 이미징 레이어(22)의 표면(24) 위에 위치할 수 있고, 원하는 파장을 가지는 빛이 마스크에 조사되는데, 이 마스크는 빛이 마스크를 통과하여 이미징 레이어(22)의 표면(24)과 접촉할 수 있도록 설계된 개구(opening)를 가진다. 당업자는 개구의 배열(arrangement)이 이미징 레이어(22), 경질 마스크 레이어(18), 그리고 결국 기판 표면(12)에 형성되기 원하는 패턴에 따라 설계됨을 쉽게 이해할 것이다. 본 발명의 공정은 약 400 nm 내지 약 10 nm의 파장을 가지는 UV 광을 사용할 수 있지만, 13.5 nm, 157 nm, 193 nm, 248 nm, 및 365 nm의 파장이 가장 바람직하다.
빛에 노출된 이미징 레이어(22) 부분은 빛에 노출되면 현상제에 용해성이 되는 반응을 겪는다. 유리하게도, 경질 마스크 레이어(18)는 노광(light exposure) 전에 현상제에 용해성이고, 노광 후에도 남아있게 된다. 본 출원서에 사용된 "현상제에 용해성"이라는 용어는 테트라메틸 암모늄 하이드록사이드 및 KOH 현상제와 같은 통상적인 수성 현상제와 접촉한 부분이 이러한 현상제들에 의하여 추후에 제거될 수 있음을 의미한다. 적어도 약 95%, 바람직하게는 적어도 약 99%, 더욱 더 바람직하게는 약 100%의 부분이 테트라메틸 암모늄 하이드록사이드 (TMAH, 전형적으로 0.26N) 및/또는 KOH (전형적으로 30-40%) 현상제와 같은 염기성 현상제에 의하여 40초 동안 접촉된 후 제거될 것이다.
따라서 노광 후에 이미징 레이어(22)와 경질 마스크 레이어(18)는 TMAH 또는 KOH 현상제와 같은 현상제와 접촉한다. 현상제 접촉 단계는 통상적인 방법(예를 들어, 액침(immersion), 퍼들링(puddling), 분무(spray))을 통하여, 특정 공정에서 바람직한 경질 마스크 레이어(18)의 제거(남아있는 이미징 레이어(22) 부분의 아래 부분에서 경질 마스크 레이어(18)의 수평적 제거를 포함) 정도에 따라, 시간을 변화시키며 수행될 수 있다. 전형적으로, 현상제 접촉은 약 20초 내지 약 60초, 더욱 바람직하게는 약 35 초 내지 약 45 초 동안 수행될 수 있다. 접촉하는 동안, 현상제는 노광된(light-exposed) 이미징 레이어(22) 부분 및 노광된 이미징 레이어 부분 아래의 경질 마스크 레이어(18) 부분을 제거하여 개구(26)를 남긴다. 개구(26)는 홀(hole), 트렌치(trench), 스페이스(spaces) 등일 수 있고, 최종적으로 기판(10)으로 전사될 것이다. 경질 마스크 레이어(18)는 약 0.5 nm/초 내지 약 10 nm/초, 더욱 바람직하게는 약 1 nm/초 내지 약 3 nm/초의 속도로 TMAH 및 KOH 현상제 같은 현상제에 의하여 제거될 것이다.
현상제 접촉 후에, T-형 구조물(28)이 기판(10)의 표면(12) 또는 표면(12)상에 포함된 임의의 중간 레이어(intermediate layer)상에 남게된다. 경질 마스크 레이어(18)가 현상제에 용해성이므로 T-형 구조물(28)이 형성되고, 레이어(18)의 수평 식각은 노광되지 않은 이미징 레이어(22) 부분 아래에서, 현상제 접촉 시간 증가에 따라 식각 정도가 증가하며 일어날 것이다.
T-형 구조물(28)은 수직 다리(upright leg)(30) 및 대체로 수평인 부분(32)을 포함한다. 다리(30)는 열처리 후의 경질 마스크 레이어(18)와 화학적으로 동일하고, 서로 마주하는 수직 측면벽들(vertical sidewalls)(38a, 38b)에 의하여 연결된 상부(upper portion)(34)와 하부(lower portion)(36)를 포함한다. 측면벽들(38a, 38b)은 대체로 서로 평행하고, 또한 대체로 기판 표면(12)에 수직이며, 하부(36)는 표면(12) 또는 표면(12)상에 존재할 수 있는 임의의 중간 레이어에 접촉한다. 즉, 수직 측면벽(38a 또는 38b)과 기판 표면(12)에 의하여 형성된 각도 "A"는 약 70˚ 내지 약 110˚, 더욱 바람직하게는 약 80˚ 내지 약 100˚, 더욱 더 바람직하게는 약 85˚ 내지 약 95˚이다.
부분(section)(32)은 열처리 후의 이미징 레이어(22)와 화학적으로 동일하고, 윗면(40a)과 아랫면(40b) 및 말단벽(endwall)(42a, 42b) 각각을 포함한다. 윗면(40a)과 아랫면(40b)은 대체로 서로 평행하고, 표면(12)에도 평행하며, 한편 말단벽(42a, 42b)은 대체로 서로 평행하고, 측면벽(38a, 38b)에 평행하며, 대체로 표면(12)에 수직이다. 부분(32)의 아랫면(40b)은 다리(30)의 상부(34)에 인접한다.
도 1B에 나타나는 것과 같이, 다리(30)는 수직 측면벽(38a, 38b) 사이의 가장 먼 거리의 지점에서 측정되는 폭 "W"를 가진다. 유리하게도, 이 공정은 식각 또는 다른 공정 동안 다리(30)의 전체 높이를 손실하지 않고 상기 기재한 바와 같이 현상 시간을 조절하여, "W"가 제어되고 상대적으로 작아질 수 있도록 한다. 본 발명 공정으로 "W"가 약 70 nm 이하, 바람직하게는 약 50 nm 이하, 더욱 더 바람직하게는 약 40 nm 이하가 될 수 있다.
부분(32)은 보통 "W"에 평행인 평면을 따라 가장 긴 길이인 길이 "L"을 가진다. 일부 응용에서, "W"는 "L"과 거의 같을 것이다. 그러나 바람직한 구체예에서, "W"는 "L"보다 작다. 이러한 구체예에서, "W"는 "L"의 80% 이하, 더욱 바람직하게는 약 40% 내지 약 60%이다. 또한 도 1B에 나타나는 바와 같이, T-형 구조물(28)은 기판 표면(12)에서 부분(32)의 윗면(40a)까지의 가장 먼 거리로 정의되는 전체 높이 "H"를 가진다. "H"/"W"가 약 2 내지 약 5, 더욱 더 바람직하게는 약 3 내지 약 4인 것이 바람직하다. 이렇게 선폭(line width)이 이미징 레이어(22)로써 달성된 선폭보다 감소되었고, 선행 기술에 비하여 상당한 장점을 제공한다.
도 1C에 나타나는 바와 같이, (부분(32) 형태인) 잔여 이미징 레이어(22)가 전형적으로 유기 용매(예를 들어, 상업적으로 구입가능한 에지 헤드(edge bead) 제거제 또는 사전습윤(pre-wet) 용매)를 사용하여 제거되어 패턴화 레이어(patterned layer)(44)를 남긴다. 도 1D를 참조하면, 패턴화 레이어(44)의 패턴은 통상적인 방법(예를 들어, 식각)을 통하여 기판(10)으로 전사되어 패턴화 기판(46)을 형성한다. (다리(30) 형태인) 경질 마스크 레이어(18)는 매우 느린 식각률을 가지고, 식각은 기판에 비하여 매우 느리다. 즉, 경질 마스크 레이어(18)는 전형적인 기판(10)(예를 들어, 실리콘 기판, 금속 기판, 고분자 필름) 식각률의 약 1/3 이하, 바람직하게는 약 1/10 이하, 더욱 바람직하게는 약 1/25 이하, 더욱 더 더욱 바람직하게는 약 1/40 내지 약 1/100로 식각된다. 이는 HBr, 염소, 및 산소 식각제를 포함하는 통상의 식각제에 대하여 사실이다. 도 1D에 나타나는 구조물은 금속배선(metallization)과 같은 또 다른 제작 단계를 위하여 준비된다.
본 발명은 유리하게는 이중 패턴화 공정을 사용할 수 있고, 따라서 달성되는 분해능을 2 팩터(factor) 이상으로 증가시킨다. 이 공정은 도 2에 도해되고, 유사한 물질을 명시하기 위하여 모든 실시예에 대하여 유사한 번호매김을 사용한다. 도 2A는 도 1C에 대응하고, 어떻게 도 1C가 도 1에 나타난 공정 대신에 이중 패턴화 공정의 일부로서 사용될 수 있는지를 보여준다. 다시 말해서 코팅되고 리소그래피를 거치고 현상된 도 1C/2A의 구조가, 또 다른 패턴을 형성하기 위하여 다시 코팅되고 리소그래피를 거치고 현상될 수 있다. 도 2B를 참조하면, 다리(30)는 먼저 바람직하게는 경화 열처리를 거친다. 이는 바람직하게는 적어도 약 210℃, 더욱 바람직하게는 약 220℃ 내지 약 280℃의 온도에서, 약 30초 내지 약 90초, 더욱 바람직하게는 약 30초 내지 약 60초 동안 수행된다. 경화는 또한 열처리 대신이나 열처리에 더하여, 방사선(radiation)을 사용하여 수행될 수 있다.
다음으로, 경질 마스크 조성물을 도포하여 윗면(50)을 가지는 제2 경질 마스크 레이어(48)를 형성한다. 레이어(18)가 경화되고 이에 따라 다리(30)가 경화되므로, 이러한 제2 코팅 단계는 사전에 형성된 다리(30)가 손상되지 않게 유지시키는 동안 가능하다. 조성물 도포 단계는 도 1에 대하여 상기 기재된 것과 유사할 것이다. 또한 전형적으로는 도 1 구체예에서 사용된 것과 동일한 경질 마스크 조성물을 사용할 것이지만, 이것이 반드시 필요한 것은 아니며 원하는 경우 상이한 조성물을 사용할 수도 있다. 상기 기재된 것과 같은 동일한 열처리 조건이 뒤따르고, 유사한 두께와 식각률이 달성될 것이다. 또한 이미징 또는 감광제 레이어(22)가 앞에서 기재된 것과 같이 윗면(50)에 형성될 것이다.
도 2C에서, 원하는 패턴이 형성된 마스크(나타나지 않음)가 이미징 레이어(22)의 표면(24) 위에 위치되고, 상기 기재된 것과 같이 노광이 반복된다. 다시, 이미징 레이어(22)에 노출된 부분이 형성되고, 이어서 현상제와 접촉시켜 노출된 레이어(22) 부분 및 노출된 부분 아래의 경질 마스크 레이어(18) 부분을 제거하여 개구(52)를 남긴다. 이러한 개구(52)는 홀, 트렌치 또는 스페이스일 수 있다. 앞에서 기술한 것과 동일한 구조 피처와 특징을 가지는 동일한 T-형 구조물(28)이 형성된다. 유기 용매를 사용하는 것과 같은 방식으로 다시 부분(32)이 제거되어 도 2D에 나타나는 구조를 남긴다. 도 2D에서 기판 표면(12)에 남아 있는 (도 1에서 논의된 다리(30)와 유사한) 양각(raised) 부분 또는 다리(30)는 전형적으로 라인(line) 또는 양각 피처로 일컫는다. 이러한 홀, 트렌치, 스페이스, 라인 및 양각 피처의 패턴은 최종적으로 기판(10)에 전사될 것이다.
상기 코팅, 열처리, 노광, 현상, 및 이미징 레이어 제거 단계는 원하는 횟수만큼 반복될 수 있고, 특정 패턴이 기판 표면(12)에 형성되도록 할 수 있다. 도 2C의 구조물은 패턴을 기판(10)에 전사하기 위하여 앞에서 기술한 남은 단계들을 거친다. 이후 패턴화 기판은 또 다른 제작 단계(예를 들어, 금속배선)를 거친다.
[실시예]
다음의 실시예들은 본 발명에 따른 방법에 따라 제시된다. 그러나 이 실시예들은 단지 설명을 위하여 제공되었고, 본 발명의 전체 범위를 제한하는 것으로 간주하지 않는다는 것을 이해해야 한다.
실시예 1
현상제에 용해성인 경질 마스크 배합물 I
이 배합물의 성분들이 표 1에 나열되어 있다. 원료 물질을 교반하며 다음의 순서로 혼합했다: Tyzor® BTP, 에틸아세토아세테이트, 용매, Cymel® 1 303LF; 2-시아노-3-(4-하이드록시-페닐)-아크릴릭 애시드 에틸 에스테르. 상기 혼합물을 0.1-미크론 멤브레인을 통하여 여과시켜 입자를 제거했다. 최종 배합물을 2,000 rpm으로 40초 동안 실리콘 웨이퍼에 스핀-코팅하고, 이어서 120℃에서 30초 동안, 그리고 180℃ 에서 60초 동안 2-단계 열처리되어 nm±5 nm의 필름을 생성했다. 필름은 5 nm/초 ± 1 nm/초의 속도로 0.26-N TMAH에 용해되었다. 필름의 굴절지수(index of refraction (즉, n 값))는 193 nm에서 1.6±0.05, 248 nm에서 1.8±0.05, 365nm에서 1.7±0.05였다. 필름의 흡광계수(extinction coefficient (즉, k 값))는 193nm에서 0.44±0.05, 248nm에서 0.42±0.05, 365nm에서 0.40±0.05였다.
경질 마스크 배합물 I
성분 중량%A
Tyzor® BTP (폴리디부틸티타네이트, DuPont으로부터 입수) 6.28%
에틸아세토아세테이트 (Aldrich로부터 입수) 7.90%
Cymel® 303LF (Cytec Industries로부터 입수) 1.62%
2-시아노-3-(4-하이드록시-페닐)-아크릴릭 애시드 에틸 에스테르 (St-Jean Photochemicals로부터 입수) 1.62%
프로필렌글리콜프로필 에테르 (General Chemical Corp.로부터 입수) 82.58%
A 조성물에 있는 모든 성분의 총 중량을 100중량%로 취한 것을 기준으로 함.
실시예 2
KrF 감광제와 함께 현상제-트리밍된 경질 마스크
실시예 1에서 제조된 현상제에 용해성인 경질 마스크 조성물을 200-mm 실리콘 웨이퍼에 스핀-코팅했다. 경질 마스크 레이어를 205℃에서 60초 동안 고온 표면에서 열적으로 경화했다. 열경화된(thermally-set) 현상제에 용해성인 경질 마스크 레이어 필름은 70 nm의 두께를 가졌다. 상업적 KrF 감광제(UV210, Rohm & Haas에서 입수)를 에틸 락테이트로써 원래 고체 함량의 6분의 1(1/6)로 희석했다. 희석된 감광제를 스피닝(spinning)으로 경질 마스크 레이어에 도포해서 50 nm의 두께를 가지는 감광제 필름을 형성했다. 모든 필름을 가지는 웨이퍼를 130℃에서 60초 동안 도포-후 열처리(post-application bake (PAB))로 열처리했다. 이후 웨이퍼를 SVGL/ASML Microscan Ⅲ(0.6 NA)상에서 248 nm의 파장에 노출시켰다. 노광-후 열처리(post-exposure bake (PEB))를 130℃에서 90초 동안 수행했다. 웨이퍼를 0.26N 테트라메틸암모늄 하이드록사이드(TMAH)를 사용하여 60초 동안 현상했다. 결과로 형성된 라인 패턴이 도 3에 나타난다. 경질 마스크의 라인 패턴은 42 nm의 너비를 가졌다.
실시예 3
ArF 감광제와 함께 현상제-트리밍된 경질 마스크
실시예 1에서 제조된 현상제에 용해성인 경질 마스크 조성물을 200-mm 실리콘 웨이퍼에 스핀-코팅했다. 경질 마스크 레이어를 고온 표면에서, 205℃에서 60초 동안 고온 표면에서 열적으로 경화했고, 열경화된 현상제에 용해성인 경질 마스크 필름은 40 nm의 두께를 가졌다. 상업적 ArF 감광제(TArF-Sa-103, TOK로부터 입수)를 스피닝으로 경질 마스크상에 도포해서 250 nm의 두께를 가지는 필름을 형성했다. 모든 필름을 가지는 웨이퍼를 110℃에서 60초 동안 PAB로 처리했다. 이후 웨이퍼를 ASML PASS5500/1100 스캐너(0.75 NA, 0.89/0.65 sigma)상에서 193 nm의 파장에 노출시켰다. PEB를 110℃에서 60초 동안 수행했다. 웨이퍼를 0.26N TMAH를 사용하여 60초 동안 현상했다. 결과로 형성된 라인 패턴이 도 4에 나타난다. 경질 마스크의 결과 라인 패턴은 66 nm의 너비를 가졌다.
실시예 4
현상제에 용해성인 경질 마스크 배합물 Ⅱ
이 배합물의 성분들이 표 2에 나열되어 있다. 원료 물질을 교반하며 다음의 순서로 혼합했다: 용매; Tyzor® AA-105; Cymel® 1135; 2-시아노-3-(4-하이드록시-페닐)-아크릴릭 애시트 에틸 에스테르. 상기 혼합물을 0.1-미크론 멤브레인을 통하여 여과시켜 입자를 제거했다. 최종 배합물을 2,000 rpm으로 40초 동안 실리콘 웨이퍼에 스핀-코팅하고, 이어서 120℃에서 30초 동안, 그리고 180℃ 에서 60초 동안 2-단계 열처리해서 40 nm ± 5 nm의 필름을 생성했다. 이 필름은 3 nm/sec ± 1 nm/sec의 속도로 0.26N TMAH에 용해되었다. 필름의 굴절지수는 193 nm에서 1.6±0.05, 248 nm에서 1.8±0.05, 365nm에서 1.7±0.05였다. 필름의 흡광계수는 193 nm에서 0.39±0.05, 248 nm에서 0.37±0.05, 365 nm에서 0.48±0.05였다.
경질 마스크 배합물 Ⅱ
성분 중량%A
Tyzor® AA-105 (티타늄 아세틸아세토네이트, DuPont으로부터 입수) 4%
Cymel® 1135 (Cytec Industries로부터 입수) 1.2%
2-시아노-3-(4-하이드록시-페닐)-아크릴릭 애시드 에틸 에스테르 (St-Jean Photochemicals로부터 입수) 0.8%
프로필렌글리콜프로필 에테르 (General Chemical Corp.로부터 입수) 94%
A 조성물에 있는 모든 성분의 총 중량을 100중량%로 취한 것을 기준으로 함.
경질 마스크 배합물 Ⅱ를 200-mm 실리콘 웨이퍼에 스핀-코팅했고, 필름을 120℃에서 40초 동안, 이어서 180℃에서 60초 동안 고온 표면에서 열적으로 경화했다. 열경화된 현상제에 용해성인 경질 마스크는 40-nm 두께의 필름이었다. 상업적 ArF 감광제(TAI6016, TOK에서 입수)를 스피닝으로 경질 마스크에 도포해서 120 nm 두께를 가지는 필름을 형성했다. 모든 필름을 가지는 웨이퍼를 120℃에서 60초 동안 PAB로 열처리했다. 이후 웨이퍼를 ASML PASS5500/1100 스캐너(0.75 NA, 0.89/0.59 sigma)상에서 193 nm의 파장에 노출시켰다. PEB를 110℃에서 60초 동안 수행했다. 웨이퍼를 0.26N TMAH를 사용하여 60초 동안 현상했다. 결과로 형성된 라인 패턴이 도 5에 나타난다. 이 실시예를 위한 조건은 실시예 2와 3의 조건과 같이 최적화되지는 않았는데, 실시예 2와 3의 조건은 최적화로 달성될 수 있는 향상을 나타낸다.
실시예 5
현상제에 용해성인 경질 마스크 배합물 Ⅲ
이 배합물의 성분들이 표 3에 나열되어 있다. 원료 물질을 교반하며 다음의 순서로 혼합했다: 용매, Tyzor® AA-105, Cymel® 1135, 2-시아노-3-(4-하이드록시-페닐)-아크릴릭 애시드 에틸 에스테르. 상기 혼합물을 0.1-미크론 멤브레인을 통하여 여과시켜 입자를 제거했다. 최종 배합물을 2,000 rpm으로 40초 동안 실리콘 웨이퍼에 스핀-코팅하고, 이어서 120℃에서 30초 동안, 그리고 180℃에서 60초 동안 2-단계 열처리해서 40 nm ± 5 nm의 필름을 생성했다. 이 필름은 2 nm/sec ± 1 nm/sec의 속도로 0.26N TMAH에 용해되었다. 필름의 굴절지수는 193 nm에서 1.6±0.05, 248 nm에서 1.8±0.05였다. 필름의 흡광계수는 193 nm에서 0.45±0.05, 248 nm에서 0.44±0.05였다.
경질 마스크 배합물 Ⅲ
성분 중량%A
Tyzor® AA-105 5.1%
Cymel® 1135 1.2%
2-시아노-3-(4-하이드록시-페닐)-아크릴릭 애시드 에틸 에스테르 0.5%
프로필렌글리콜프로필 에테르 93.2%
A 조성물에 있는 모든 성분의 총 중량을 100중량%로 취한 것을 기준으로 함.
HM 배합물을 200-mm 실리콘 웨이퍼에 스핀-코팅했고, 경질 마스크 필름을 120℃에서 40초 동안, 이어서 170℃에서 60초 동안 고온 표면에서 열적으로 경화했다. 열경화된 현상제에 용해성인 경질 마스크는 40-nm 두께의 필름이었다. 상업적 ArF 감광제(TAI6016)를 스피닝으로 경질 마스크에 도포해서 120 nm 두께를 가지는 필름을 형성했다. 모든 필름을 가지는 웨이퍼를 120℃에서 60초 동안 PAB로 열처리했다. 이후 웨이퍼를 ASML PASS5500/1100 스캐너(0.75 NA, 0.89/0.59 sigma)상에서 193 nm의 파장에 노출시켰다. PEB를 110℃에서 60초 동안 수행했다. 웨이퍼를 0.26N TMAH를 사용하여 60초 동안 현상했다. 결과로 형성된 라인 패턴이 도 6에 나타난다. 이 실시예를 위한 조건은 실시예 2와 3의 조건과 같이 최적화되지는 않았는데, 실시예 2와 3의 조건은 최적화로 달성될 수 있는 향상을 나타낸다.
실시예 6
현상제에 용해성인 경질 마스크 배합물 Ⅳ
이 배합물의 성분들이 표 4에 나열되어 있다. 원료 물질을 교반하며 다음의 순서로 혼합했다: 용매, Tyzor® AA-105, 2-시아노-3-(4-하이드록시-페닐)-아크릴릭 애시드 에틸 에스테르. 상기 혼합물을 0.1-미크론 멤브레인을 통하여 여과시켜 입자를 제거했다. 최종 배합물을 2,000 rpm으로 40초 동안 실리콘 웨이퍼에 스핀-코팅하고, 이어서 120℃에서 30초 동안, 그리고 180℃에서 60초 동안 2-단계 열처리해서 40 nm ± 5 nm의 필름을 생성했다. 필름은 2 nm/sec ± 1 nm/sec의 속도로 0.26N TMAH에 용해되었다. 필름의 굴절지수는 193 nm에서 1.6±0.05, 248 nm에서 1.8±0.05였다. 필름의 흡광계수는 193 nm에서 0.49±0.05, 248 nm에서 0.49±0.05였다.
경질 마스크 배합물 IV
성분 중량%A
Tyzor® AA-105 6.9%
2-시아노-3-(4-하이드록시-페닐)-아크릴릭 애시드 에틸 에스테르 0.5%
프로필렌글리콜프로필 에테르 92.6%
A 조성물에 있는 모든 성분의 총 중량을 100중량%로 취한 것을 기준으로 함.
실시예 7
현상제에 용해성인 경질 마스크 배합물 V
이 배합물의 성분들이 표 5에 나열되어 있다. Tyzor® BTP와 2,4-펜탄디온이 혼합되어 냉각 메커니즘이 설비된 반응기에서 중간물(intermediate)을 생성했다. 반응 온도는 발열 반응이 일어나는 동안 실온으로 유지되었다. 이 중간물을 먼저 용매와 혼합하고, 이후 교반하며 잔존하는 성분들을 첨가했다. 상기 혼합물을 0.1-미크론 멤브레인을 통하여 여과시켜 입자를 제거했다. 최종 배합물을 2,000 rpm으로 40초 동안 실리콘 웨이퍼에 스핀-코팅하고, 이어서 120℃에서 30초 동안, 그리고 180℃에서 60초 동안 2-단계 열처리해서 77 nm ± 5 nm의 필름을 생성했다. 이 필름은 4 nm/sec ± 1 nm/sec의 속도로 0.26N TMAH에 용해되었다. 필름의 굴절지수는 193 nm에서 1.6±0.05, 248 nm에서 1.8±0.05, 365 nm에서 1.7±0.05였다. 필름의 흡광계수는 193 nm에서 0.39±0.05, 248 nm에서 0.38±0.05, 365 nm에서 0.41±0.05였다.
경질 마스크 배합물 V
성분 중량%A
Tyzor® BTP 4.4%
2,4-펜탄디온 (Aldrich로부터 입수) 2.6%
Cymel® 303 1.6%
2-시아노-3-(4-하이드록시-페닐)-아크릴릭 애시드 에틸 에스테르 1.4%
프로필렌글리콜프로필 에테르 90.0%
A 조성물에 있는 모든 성분의 총 중량을 100중량%로 취한 것을 기준으로 함.
경질 마스크 배합물을 200-nm 실리콘 웨이퍼에 스핀-코팅하고, 경질 마스크 필름을 120℃에서 40초 동안, 이어서 180℃에서 60초 동안 고온 표면에서 열적으로 경화했다. 열경화된 현상제에 용해성인 경질 마스크는 40-nm 두께의 필름이었다. 상업적 ArF 감광제(TAI6016)가 스피닝으로 경질 마스크에 도포되어 120 nm의 필름을 형성했다. 모든 필름을 가지는 웨이퍼를 120℃에서 60초 동안 PAB로서 열처리했다. 이후 웨이퍼는 ASML PASS5500/1100 스캐너(0.75 NA, 0.89/0.59 sigma)상에서 193 nm의 파장에 노출시켰다. PEB를 110℃에서 60초 동안 수행했다. 웨이퍼를 0.26N TMAH를 사용하여 60초 동안 현상했다. 결과로 형성된 라인 패턴이 도 7에 나타난다.
현상제에 용해성인 신규한 경질 마스크 조성물 및 상기 조성물을 사용하여 마이크로전자 구조물을 제조하는 방법이 제공된다. 조성물은 용매 시스템에 현상 속도 조절을 위한 화합물로서 화학식 (I)의 화합물, 그리고 가교제를 포함한다. 상기 방법에는 기판에 조성물을 도포하고 조성물을 경화하는 방법이 포함된다. 이미징 레이어를 조성물에 도포하고, 노광과 현상이 이어지며, 현상하는 동안 이미징 레이어의 노광된 부분이 상기 노광된 부분에 인접한 경질 마스크 조성물 부분과 함께 제거된다. 경질 마스크 조성물 구조물의 크기는 현상 속도로써 조절되고 이미징 레이어 피처 사이즈보다 더 적은 피처 사이즈를 야기하며, 최종적으로는 기판에 전사될 수 있는 패턴을 제공한다.
Figure pat00006
(I)

Claims (15)

  1. 경질 마스크 레이어로서 유용한 조성물에 있어서, 다음을 포함하는 조성물:
    (A)
    Figure pat00007
    ,
    여기서 M은 Ti와 Zr로 이루어진 그룹에서 선택되고, 각각의 R은 수소와 알킬로 이루어진 그룹에서 개별적으로 선택됨;
    (B) 적어도 하나의 -OH기를 포함하고 알콕시기를 추가로 포함하는 화합물;
    (C) 가교제(crosslinking agent); 및
    (D) 용매 시스템,
    여기서 (A), (B), (C)는 용매 시스템에 용해되거나 분산됨.
  2. 제1항에 있어서, 가교제가 아미노플라스트(aminoplast) 가교제인 것을 특징으로 하는 경질 마스크 레이어로서 유용한 조성물.
  3. 마이크로전자 구조물을 형성하는 방법에 있어서,
    제1 조성물을 마이크로전자 기판 또는 마이크로전자 기판상의 중간 레이어(intermediate layer)에 도포하여 경질 마스크 레이어를 형성하는 단계,
    여기서 제1 조성물은 다음을 포함함:
    (A)
    Figure pat00008
    ,
    여기서 M은 Ti와 Zr로 이루어진 그룹에서 선택되고, 각각의 R은 수소와 알킬로 이루어진 그룹에서 개별적으로 선택됨;
    (B) 적어도 하나의 -OH기를 포함하고 알콕시기를 추가로 포함하는 화합물;
    (C) 가교제; 및
    (D) 용매 시스템,
    여기서 (A), (B), (C)는 용매 시스템에 용해되거나 분산됨;
    상기 경질 마스크 레이어 또는 경질 마스크상의 중간 레이어에 이미징 레이어(imaging layer)를 도포하는 단계; 및
    상기 이미징 레이어를 400 nm 내지 10 nm의 파장을 가지는 빛에 선택적으로 노출시켜 이미징 레이어의 노광된(light-exposed) 부분을 형성하는 단계;
    를 포함하는 방법.
  4. 제3항에 있어서, 이미징 레이어과 경질 마스크 레이어를 노광 후에 현상제와 접촉시켜, 노광된 부분 및 노광된 부분에 인접한 경질 마스크 레이어 부분이 현상제에 의하여 제거되어 패턴화 레이어(patterned layer)를 형성하는 단계를 추가로 포함하는 것을 특징으로 하는 마이크로전자 구조물 형성 방법.
  5. 제4항에 있어서, 다음 단계를 추가로 포함하는 것을 특징으로 하는 마이크로전자 구조물 형성 방법:
    (a) 패턴화 레이어를 열처리(baking)하는 단계; 및
    (b) 제2 조성물을 패턴화 레이어에 도포하여 패턴화 레이어상에 제2 경질 마스크 레이어를 형성하는 단계, 여기서 제2 조성물은
    (A)
    Figure pat00009
    ,
    여기서 M은 Ti와 Zr로 이루어진 그룹에서 선택되고, 각각의 R은 수소와 알킬로 이루어진 그룹에서 개별적으로 선택됨;
    (B) 적어도 하나의 -OH기를 포함하고 알콕시기를 추가로 포함하는 화합물;
    (C) 가교제(crosslinking agent); 및
    (D) 용매 시스템;
    을 포함하고, 여기서 (A), (B), (C)는 용매 시스템에 용해되거나 분산됨.
  6. 제5항에 있어서, 다음 단계를 추가로 포함하는 것을 특징으로 하는 마이크로전자 구조물 형성 방법:
    (c) 제2 경질 마스크 레이어를 열처리하는 단계;
    (d) 이미징 레이어를 제2 경질 마스크 레이어에 도포하는 단계;
    (e) 이미징 레이어를 빛에 노출시켜 이미징 레이어에 노광된 부분을 형성하는 단계; 및
    (f) 노광된 부분을 현상제와 접촉시켜 노광된 부분 및 노광된 부분에 인접한 경질 마스크 레이어 부분을 기판으로부터 제거하여, 제2 패턴화 레이어를 형성하는 단계.
  7. 제6항에 있어서, 다음 단계를 추가로 포함하는 것을 특징으로 하는 마이크로전자 구조물 형성 방법:
    단계 (b)에서 (e)를 임의선택적으로 한 번 이상 반복하는 단계; 및
    패턴화 레이어의 패턴을 기판에 전사(transferring)하는 단계.
  8. 제7항에 있어서, 전사가 패턴화 레이어와 기판을 식각하는 것을 포함하는 것을 특징으로 하는 마이크로전자 구조물 형성 방법.
  9. 다음을 포함하는 마이크로전자 구조물:
    표면을 가지는 마이크로전자 기판, 기판은 식각률(etch rate)을 가짐;
    기판 표면 또는 기판 표면상의 중간 레이어 위의 T-형 구조물, T-형 구조물은 다음을 포함함:
    식각률을 가지는, 제 1항에 따르는 조성물로부터 형성되는 경질 마스크를 포함하는 수직 다리, 상기 다리는 서로 마주하는 수직 측면벽에 의하여 연결된 상부와 하부를 가지고, 수직 측면벽은 기판 표면에 수직이며, 하부는 기판 표면 또는 중간 레이어와 접촉하고, 여기서 상기 다리는 수직 측면벽 사이의 가장 먼 거리에서 측정되는 너비 "W"를 가짐;
    상부 또는 상부상의 중간 레이어에 인접하고, 수직 측면벽에 수직인, 이미징 레이어를 포함하는 수평인 부분, 상기 수평인 부분은 "W"에 평행한 평면을 따라 가장 먼 거리인 길이 "L"을 가지며, "W"는 "L"의 80% 이하임;
    경질 마스크 식각률은 기판 식각률의 1/3 미만임.
  10. 제9항에 있어서:
    수평 부분이 윗면을 가지고;
    T-형 구조물이 기판 표면에서 윗면까지의 가장 먼 거리로 정의되는 높이 "H"를 가지며;
    다리는 상기 너비 "W"를 가지고;
    "H"/"W"는 2 내지 5임을 특징으로 하는 마이크로전자 구조물.
  11. 제9항에 있어서, 수직 측면벽과 기판 표면이 80˚ 내지 100˚의 각도를 형성하는 것을 특징으로 하는 마이크로전자 구조물.
  12. 제9항에 있어서, 경질 마스크가
    Figure pat00010
    Figure pat00011

    및 이들의 혼합물로 이루어진 그룹에서 선택되는 구조물을 포함하고, 여기서 M은 Ti와 Zr로 이루어진 그룹에서 선택되고, 각각의 R은 수소와 알킬로 이루어진 그룹에서 개별적으로 선택되는 것을 특징으로 하는 마이크로전자 구조물.
  13. 제9항에 있어서, 상기 마이크로전자 기판이 실리콘, 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 알루미늄, 텅스텐, 텅스텐 실리사이드, 갈륨 아르세나이드, 게르마늄, 탄탈럼, 탄탈럼 니트라이트, 및 SiGe로 이루어진 그룹에서 선택되는 것을 특징으로 하는 마이크로전자 구조물.
  14. 다음 단계를 포함하는 마이크로전자 구조물 형성 방법:
    표면 및 하나 이상의 선택적인 표면상의 중간 레이어를 가지는 마이크로전자 기판을 제공하는 단계, 기판은 식각률을 가짐;
    경질 마스크 조성물을 기판 표면 또는 기판 표면상의 중간 레이어에 도포하여 경질 마스크 레이어를 형성하는 단계, 경질 마스크 레이어는 식각률을 가지고 경질 마스크 식각률은 기판 식각률의 1/3 미만임;
    경질 마스크 레이어상에 하나 이상의 중간 레이어를 선택적으로 형성하는 단계;
    경질 마스크 레이어 또는 경질 마스크상의 중간 레이어에 이미징 레이어를 형성하는 단계;
    이미징 레이어를 빛에 노출시켜 노광된 이미징 레이어 부분을 형성하는 단계;
    노광 후에 이미징 레이어를 현상해서 노광된 부분 및 노광된 부분에 인접한 경질 마스크 레이어 부분을 제거하는 단계, 현상은 기판 표면 또는 기판 표면상의 중간 레이어에 T-형 구조물을 형성하고, T-형 구조물은 다음을 포함함;
    서로 마주하는 수직 측면벽에 의하여 연결된 상부와 하부를 가지는 수직 다리, 수직 측면벽은 기판 표면에 수직이고 하부는 기판 표면 또는 중간 레이어과 접촉하고, 여기서 상기 다리는 수직 측면벽 사이의 가장 먼 거리에서 측정되는 "W"를 가짐;
    상부 또는 상부상의 중간 레이어에 인접하고 수직 측면벽과 수직인, 수평인 부분, 상기 수평인 부분은 "W"에 평행한 평면을 따라 가장 먼 거리인 길이 "L"을 가지며, "W"는 "L"의 80% 이하임.
  15. 경질 마스크 레이어로서 유용한 조성물에 있어서, 다음을 포함하는 조성물:
    (A)
    Figure pat00012
    ,
    여기서 M은 Ti와 Zr로 이루어진 그룹에서 선택되고, 각각의 R은 수소와 알킬로 이루어진 그룹에서 개별적으로 선택됨;
    (B) 적어도 하나의 -OH기를 포함하고 알콕시기를 추가로 포함하는 화합물;
    (C) 아미노플라스트(aminoplast) 가교제(crosslinking agent); 및
    (D) 용매 시스템,
    여기서 (A), (B), (C)는 용매 시스템에 용해되거나 분산됨.
KR1020157014001A 2006-09-25 2007-09-21 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법 KR101727842B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US82687506P 2006-09-25 2006-09-25
US60/826,875 2006-09-25
US11/858,546 2007-09-20
US11/858,546 US8168372B2 (en) 2006-09-25 2007-09-20 Method of creating photolithographic structures with developer-trimmed hard mask
PCT/US2007/079217 WO2008039705A1 (en) 2006-09-25 2007-09-21 Method of creating photolithographic structures with developer-trimmed hard mask

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147023449A Division KR101584927B1 (ko) 2006-09-25 2007-09-21 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법

Publications (2)

Publication Number Publication Date
KR20150067390A true KR20150067390A (ko) 2015-06-17
KR101727842B1 KR101727842B1 (ko) 2017-04-17

Family

ID=39225413

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020147023449A KR101584927B1 (ko) 2006-09-25 2007-09-21 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법
KR1020097008244A KR20090077790A (ko) 2006-09-25 2007-09-21 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법
KR1020157014001A KR101727842B1 (ko) 2006-09-25 2007-09-21 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020147023449A KR101584927B1 (ko) 2006-09-25 2007-09-21 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법
KR1020097008244A KR20090077790A (ko) 2006-09-25 2007-09-21 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법

Country Status (7)

Country Link
US (1) US8168372B2 (ko)
EP (1) EP2089770B1 (ko)
JP (2) JP5232155B2 (ko)
KR (3) KR101584927B1 (ko)
CN (1) CN102520586B (ko)
TW (1) TWI440972B (ko)
WO (1) WO2008039705A1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2245512B1 (en) * 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
DE112009000979B4 (de) * 2008-04-23 2014-12-11 Brewer Science, Inc. Photoempfindliche Hartmaske für die Mikrolithographie
US8357617B2 (en) * 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
CN101826457B (zh) * 2009-03-02 2012-03-07 中芯国际集成电路制造(上海)有限公司 栅极及mos晶体管的制作方法
KR101311585B1 (ko) * 2010-11-16 2013-09-26 고려대학교 산학협력단 초소수성 표면의 제조방법 및 이로 제조된 초소수성 표면
US9960038B2 (en) 2010-12-27 2018-05-01 Brewer Science, Inc. Processes to pattern small features for advanced patterning needs
US8568958B2 (en) * 2011-06-21 2013-10-29 Az Electronic Materials Usa Corp. Underlayer composition and process thereof
US8647809B2 (en) * 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
US8541296B2 (en) * 2011-09-01 2013-09-24 The Institute of Microelectronics Chinese Academy of Science Method of manufacturing dummy gates in gate last process
CN102983073B (zh) * 2011-09-05 2015-12-09 中国科学院微电子研究所 小尺寸鳍形结构的制造方法
US9315636B2 (en) 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
US9102901B2 (en) * 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
KR101674989B1 (ko) * 2013-05-21 2016-11-22 제일모직 주식회사 레지스트 하층막용 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
KR102351281B1 (ko) * 2013-09-11 2022-01-14 제이에스알 가부시끼가이샤 다층 레지스트 프로세스용 무기 막 형성 조성물 및 패턴 형성 방법
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
JP6413333B2 (ja) * 2014-05-13 2018-10-31 Jsr株式会社 パターン形成方法
US9499698B2 (en) 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
KR102399362B1 (ko) 2017-09-06 2022-05-18 메르크 파텐트 게엠베하 하드 마스크로서 유용한 스핀-온 무기 산화물 함유 조성물 및 개선된 열적 안정성을 지닌 충전 재료
WO2022186231A1 (ja) * 2021-03-03 2022-09-09 日産化学株式会社 ベンジリデンシアノ酢酸エステル基を有するレジスト下層膜形成組成物

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58127326A (ja) * 1982-01-26 1983-07-29 Toshiba Corp 半導体装置の製造方法
DE3377539D1 (en) 1982-04-22 1988-09-01 Du Pont Overcoated photohardenable element having surface protuberances
JP2919004B2 (ja) * 1990-07-12 1999-07-12 沖電気工業株式会社 パターン形成方法
JP2001071452A (ja) * 1999-07-05 2001-03-21 Fuji Photo Film Co Ltd 平版印刷版用原版及びそれを用いた平版印刷版の製版方法
US6284613B1 (en) * 1999-11-05 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Method for forming a T-gate for better salicidation
US6630785B1 (en) * 2000-05-30 2003-10-07 Ritdisplay Corporation Surface treatment process for fabricating a panel of an organic light emitting device
US7008832B1 (en) * 2000-07-20 2006-03-07 Advanced Micro Devices, Inc. Damascene process for a T-shaped gate electrode
AU8500701A (en) 2000-08-17 2002-02-25 Shipley Co Llc Etch resistant antireflective coating compositions
US6509253B1 (en) * 2001-02-16 2003-01-21 Advanced Micro Devices, Inc. T-shaped gate electrode for reduced resistance
DE10163557B4 (de) * 2001-12-21 2007-12-06 Forschungszentrum Jülich GmbH Transistorbasierter Sensor mit besonders ausgestalteter Gateelektrode zur hochempfindlichen Detektion von Analyten
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6872506B2 (en) * 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
KR100512171B1 (ko) * 2003-01-24 2005-09-02 삼성전자주식회사 하층 레지스트용 조성물
US7364832B2 (en) 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
JP4069025B2 (ja) * 2003-06-18 2008-03-26 信越化学工業株式会社 レジスト下層膜材料ならびにパターン形成方法
US7223517B2 (en) * 2003-08-05 2007-05-29 International Business Machines Corporation Lithographic antireflective hardmask compositions and uses thereof
US7303855B2 (en) * 2003-10-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Photoresist undercoat-forming material and patterning process
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7122455B1 (en) * 2004-03-01 2006-10-17 Advanced Micro Devices, Inc. Patterning with rigid organic under-layer
US7271106B2 (en) * 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
JP4347209B2 (ja) * 2004-12-13 2009-10-21 東京応化工業株式会社 レジストパターンの形成方法
KR100674967B1 (ko) * 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
US20070212649A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
US7906275B2 (en) * 2006-08-31 2011-03-15 Stc.Unm Self-aligned spatial frequency doubling

Also Published As

Publication number Publication date
US20080076064A1 (en) 2008-03-27
KR20140119146A (ko) 2014-10-08
JP2012238026A (ja) 2012-12-06
WO2008039705A1 (en) 2008-04-03
EP2089770A4 (en) 2010-12-15
JP5374626B2 (ja) 2013-12-25
JP2010504561A (ja) 2010-02-12
TW200832056A (en) 2008-08-01
KR101584927B1 (ko) 2016-01-14
TWI440972B (zh) 2014-06-11
EP2089770A1 (en) 2009-08-19
CN102520586B (zh) 2014-09-03
JP5232155B2 (ja) 2013-07-10
KR20090077790A (ko) 2009-07-15
US8168372B2 (en) 2012-05-01
CN102520586A (zh) 2012-06-27
KR101727842B1 (ko) 2017-04-17
EP2089770B1 (en) 2016-06-29

Similar Documents

Publication Publication Date Title
KR101727842B1 (ko) 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법
TWI587091B (zh) 光阻圖案修整方法
TWI420571B (zh) 形成電子裝置的方法
KR100896451B1 (ko) 카본 함량이 개선된 고 내에칭성 반사방지 하드마스크조성물, 이를 이용한 패턴화된 재료 형상의 제조방법
TWI515767B (zh) 使用聚矽氮烷以形成反向曝光影像之硬罩製程
KR102346806B1 (ko) 포토레지스트 패턴 트리밍 조성물 및 방법
KR101742573B1 (ko) 다중 노광 광리소그래피용 조성물 및 방법
WO2008150058A1 (en) Hardmask composition having antireflective properties and method of patterning material on substrate using the same
US20070015082A1 (en) Process of making a lithographic structure using antireflective materials
KR20100110363A (ko) 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정
JP4221610B2 (ja) アクリル系ポリマーを含有するリソグラフィー用ギャップフィル材形成組成物
KR20140107193A (ko) 리소그래피 적용을 위한 근적외선 흡수 필름 조성물
KR20140001989A (ko) 개선된 패터닝 요구를 위해 작은 특징 부분(feature)을 패터닝하는 방법
US8906598B2 (en) Pattern forming method, method for manufacturing semiconductor device, and material for forming coating layer of resist pattern
KR100599146B1 (ko) 포토레지스트용 반사 방지 코팅재
KR101333703B1 (ko) 레지스트 하층막용 방향족 고리 함유 중합체, 이를 포함하는 레지스트 하층막 조성물 및 이 조성물을 이용한 소자의 패턴 형성 방법
KR100959190B1 (ko) 현상액에 용해 가능한 근자외선 바닥 반사방지막 조성물 및이를 이용한 패턴화된 재료 형성 방법
WO2008075860A1 (en) High etch resistant hardmask composition having antireflective properties, method for forming patterned material layer using the hardmask composition and semiconductor integrated circuit device produced using the method
EP1542077A1 (en) Process for preventing development defect and composition for use in the same
CN101517485A (zh) 用显影剂-裁切的硬掩模形成光刻结构的方法
KR20040032259A (ko) 반도체 소자의 패턴 형성 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant