KR20150047439A - 실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들 - Google Patents

실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들 Download PDF

Info

Publication number
KR20150047439A
KR20150047439A KR1020140144392A KR20140144392A KR20150047439A KR 20150047439 A KR20150047439 A KR 20150047439A KR 1020140144392 A KR1020140144392 A KR 1020140144392A KR 20140144392 A KR20140144392 A KR 20140144392A KR 20150047439 A KR20150047439 A KR 20150047439A
Authority
KR
South Korea
Prior art keywords
gas
radical
flow
substrate processing
hydrogen
Prior art date
Application number
KR1020140144392A
Other languages
English (en)
Other versions
KR102384170B1 (ko
Inventor
브하드리 엔. 바라다라잔
보 공
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150047439A publication Critical patent/KR20150047439A/ko
Priority to KR1020220017600A priority Critical patent/KR102499408B1/ko
Application granted granted Critical
Publication of KR102384170B1 publication Critical patent/KR102384170B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3326Problems associated with coating high speed

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

실리콘-카본 함유 막의 박층이 라디칼 생성 챔버로 공급된 수소 가스로부터 수소 라디칼을 생성하고, 수소 라디칼을 라디칼 생성 챔버로부터 이격된 기판 프로세싱 챔버로 다중포트 가스 분배기를 통해서 공급하고, 그 내에서 수소 라디칼들을 동시에 기판 프로세싱 챔버 내로 도입된 유기실리콘 반응물과 반응시킴으로써 기판 상에 증착된다. 수소 라디칼들은 유기실리콘 반응물과 반응하기 이전에 기판 프로세싱 챔버 내의 라디칼 완화 존 내에서 그라운드 상태로 완화되도록 된다.

Description

실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들{GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS}
실리콘 카바이드는 반도체 프로세싱 동작들에서 베리어 층들로서 때로 사용된다. 이로써, 실리콘 카바이드 막은 때로 고밀도 (예를 들어서, 약 2 g/cc 초과) 를 가져야 하며, 기밀이어야 하며, 베리어 층을 통해서 원하지 않은 재료 (예를 들어서, 금속 원자들 또는 공기) 가 확산되는 것을 방지하거나 베리어 층의 원하지 않은 에칭을 방지하기 위해서 저 기공율을 가져야 한다.
원격 수소 플라즈마가 실리콘 카바이드 막 특성들을 개선할 수 있다고 일부가 제안하였다. 그러나, 벽 충돌 및 다른 퀀칭 이벤트들은 원격 플라즈마에 의해서 생성된 활성 종들의 수율을 저감시킨다고 예상된다. 이로써, 원격 수소 플라즈마 프로세스에서의 막 증착 레이트는 허용될 수 없을 정도로 낮다고 예상된다. 실리콘 카바이드 막 밀도가 프로세스 압력과 역비례로 변한다고 사료되기 때문에, 증착 레이트를 증가시키고자 의도된 프로세스 압력 증가는 막 밀도를 부정적으로 낮추며 이는 허용가능하지 않은 베리어 성능 특성을 야기한다.
논의 대상의 일 양태는 기판 상에 실리콘-카본-함유 막을 증착하는 방법으로서 구현될 수도 있다. 이 방법은 기판 프로세싱 챔버 내에 기판을 지지시켜서 기판 프로세싱 챔버의 내부에 기판의 주 표면을 노출시키는 단계; 기판 프로세싱 챔버로부터 분리되며 기판 프로세싱 챔버와 다중포트 가스 분배기를 통해서 유체적으로 커플링된 (fluidly coupled) 라디칼 생성 챔버 내로 수소 (H2) 가스 플로우를 도입하는 단계로서, 다중포트 가스 분배기는, 라디칼 생성 챔버로부터 다중포트 분배기를 통하여 기판 프로세싱 챔버의 내부에 전체가 포함된 라디칼 완화 존 (radical relaxation zone) 내로의 플로우 경로를 확립하도록, 기판 프로세싱 챔버 내로 향하는 상호 이격된 가스 포트들의 그룹을 갖는, 수소 (H2) 가스 플로우를 도입하는 단계; 여기된 수소 라디칼들의 적어도 일부가 플로우 경로를 따라서 다중포트 가스 분배기를 통해서 라디칼 완화 존으로 유동하여서 라디칼 완화 존 내로 유동한 여기된 수소 라디칼들이 실질적으로 모두 완화된 수소 라디칼들로 천이하도록, 수소 (H2) 가스 플로우 내에서 여기된 수소 라디칼들을 형성하게 라디칼 생성 챔버 내에서 수소 (H2) 가스의 적어도 일부를 여기하는 단계; 및 기판의 주 표면과 라디칼 완화 존 간에서 기판 프로세싱 챔버의 내부 내에 형성된 화학적 기상 증착 존 내로 유기실리콘 반응물 플로우를 도입하는 단계를 포함하며, 완화된 수소 라디칼들의 적어도 일부가 라디칼 완화 존으로부터 화학 기상 증착 존 내로 유동하는 것은 유기실리콘 반응물 플로우와 동시적이며 완화된 수소 라디칼들은 화학 기상 증착 존 내에서 유기실리콘 반응물의 일부와 반응하여서, 기판의 주 표면 상에 실리콘-카본-함유 막을 증착한다.
일부 실시예들에서, 유기실리콘 반응물은 상호 이격된 반응물 개구들을 통해서 도입되며, 라디칼 완화 존은 전체가 다중포트 분배기와 상호 이격된 반응물 개구들 간에 형성된 공간 내에 포함된다. 일부 실시예들에서, 상호 이격된 반응물 개구들은, 유기실리콘 반응물 플로우가 플로우 경로와 평행한 방향으로 도입되도록, 배열된다. 일부 실시예들에서, 상호 이격된 반응물 개구들은, 유기실리콘 반응물 플로우가 플로우 경로와 교차하는 방향으로 도입되도록, 배열된다. 일부 실시예들에서, 상호 이격된 가스 포트들의 그룹은 약 0.5 내지 약 5 인치의 거리만큼 상호 이격된 반응물 개구들로부터 분리된다.
일부 실시예들에서, 다중포트 가스 분배기 내에 포함된 상호 이격된 가스 포트들의 그룹은 규칙적으로 이격된 가스 포트들의 어레이로서 구성된다. 일부 실시예들에서, 가스 유출구를 통해서 배기 (evacuation) 또는 배출 퍼징 (displacement purging) 또는 양자에 의해서 기판 프로세싱 챔버로부터 과잉 유기실리콘 반응물 및 수소 가스를 제거하는 단계를 더 포함한다. 일부 실시예들에서,
상기 다중포트 가스 분배기 내에서의 여기된 수소 라디칼의 평균 체류 시간은 약 1 * 10-3 초보다 길다. 일부 실시예들에서, 라디칼 완화 존 내에서의 가스의 평균 체류 시간은 약 1 * 10-3 초보다 길다.
일부 실시예들에서, 다중포트 가스 분배기 내에 포함된 가스 포트들은 약 3:1 내지 10:1의 범위에서 축 길이 대 직경 비를 갖는다. 일부 실시예들에서, 수소 가스 플로우를 도입하는 단계는 수소 가스와 헬륨 가스의 가스 혼합물을 도입하는 단계를 포함하며, 가스 혼합물은 약 1 내지 약 17 볼륨 퍼센트 수소 가스를 포함한다.
일부 실시예들에서, 유기실리콘 반응물은 실록산들, 실란들, 알킬 실란들, 알콕시 실란들 및 아미노 실란들로 구성된 그룹으로부터 선택된다. 일부 실시예들에서, 여기된 수소 라디칼들을 라디칼 완화 존으로 도입하기 이전에, 가스 플로우 경로를 이온 필터 또는 광자 필터 또는 이 둘 모두를 통하도록 이끄는 단계를 더 포함한다. 일부 실시예들에서, 다중포트 가스 분배기 근처의 라디칼 완화 존 내에서의 유기실리콘 반응물의 질량 분율은 약 0.1 이하이다.
논의 대상의 다른 양태는 기판 상에 실리콘-카본-함유 막을 증착하는 방법으로 구현될 수 있으며, 이 방법은 기판 프로세싱 챔버 내에 기판을 지지시켜서 기판 프로세싱 챔버의 내부에 기판의 주 표면을 노출시키는 단계; 기판 프로세싱 챔버로부터 분리되며 기판 프로세싱 챔버와 다중포트 가스 분배기를 통해서 유체적으로 커플링된 (fluidly coupled) 라디칼 생성 챔버 내로, 헬륨 가스 내의 희석된 수소 (H2) 가스의 가스 혼합물의 일정한 (steady) 플로우를 도입하는 단계로서, 다중포트 가스 분배기는, 라디칼 생성 챔버로부터 다중포트 분배기를 통하여 기판 프로세싱 챔버의 내부에 전체가 포함된 라디칼 완화 존 (radical relaxation zone) 내로 그리고 화학적 기상 증착 존 내로의 플로우 경로를 확립하도록, 기판 프로세싱 챔버 내로 향하는 상호 이격된 가스 포트들의 그룹을 포함하며, 화학적 기상 증착 존은 기판의 주 표면과 다중포트 가스 분배기 간에서 기판 프로세싱 챔버의 내부 내에 형성되며 라디칼 완화 존에 의해서 다중포트 가스 분배기로부터 이격된, 가스 혼합물의 일정한 플로우를 도입하는 단계; 가스 혼합물의 적어도 일부가 플로우 경로를 따라서 다중포트 가스 분배기를 통해서 라디칼 완화 존으로 유동하도록 가스 혼합물 내에서 여기된 수소 라디칼들을 형성하게 라디칼 생성 챔버 내에서 수소 (H2) 가스의 적어도 일부를 여기하는 단계로서, 가스 혼합물과 함께 라디칼 완화 존으로 유입된 임의의 그리고 실질적으로 모든 여기된 수소 라디칼들이 완화된 수소 라디칼들로 천이하는, 수소 가스의 적어도 일부를 여기하는 단계; 및 화학적 기상 증착 존 내로 유기실리콘 반응물의 일정한 플로우를 도입하여서, 유기실리콘 반응물이 실질적으로 모두 다중포트 가스 분배기에서의 가스 플로우와 혼합되는 것을 방지하며, 유기실리콘 반응물의 플로우와 동시에 라디칼 완화 존으로부터 유동된 적어도 일부 완화된 수소 라디칼들과 유기실리콘 반응물의 적어도 일부를 반응시켜서, 기판의 주 표면 상에 실리콘-카본-함유 막을 증착하는 단계를 포함한다.
일부 실시예들에서, 이 방법은 헬륨 가스 내의 희석된 수소 가스의 가스 혼합물의 일정한 플로우를 도입하는 단계는 헬륨 내에 약 1 내지 약 17 볼륨 퍼센트 수소 가스를 포함하는 희석된 수소 가스 혼합물을 도입하는 단계를 포함한다. 일부 실시예들에서, 다중포트 가스 분배기 내에서의 여기된 수소 라디칼의 평균 체류 시간은 약 1 * 10-3 초보다 길며, 라디칼 완화 존 내에서의 가스의 평균 체류 시간은 약 1 * 10-3 초보다 길다. 일부 실시예들에서, 유기실리콘 반응물은 상호 이격된 반응물 개구들을 통해서 도입되며, 라디칼 완화 존은 전체가 다중포트 가스 분배기와 상호 이격된 반응물 개구들 간에 형성된 공간 내에 포함된다. 일부 실시예들에서, 상호 이격된 반응물 개구들은, 유기실리콘 반응물 플로우가 플로우 경로와 평행한 방향으로 도입되도록, 배열된다. 일부 실시예들에서, 상호 이격된 반응물 개구들은, 유기실리콘 반응물 플로우가 플로우 경로와 교차하는 방향으로 도입되도록, 배열된다.
도 1은 제 1 실시예에 따른 기판 프로세싱 모듈의 개략적 단면도이다.
도 2는 제 2 실시예에 따른 기판 프로세싱 모듈의 일부의 개략적 단면도이다.
도 3은 기판 이송 모듈 주변에 배열된 4 개의 기판 프로세싱 모듈을 포함하는 기판 프로세싱 플랫폼의 개략적 평면도이다.
실리콘-카본-함유 박막들 (예를 들어서, 실리콘 카바이드 (silicon carbides) (SiCx), 실리콘-카본 질화물들 (silicon-carbon-nitrides) (SiNxCy), 실리콘-카본 산화물들 (silicon-carbon-oxides) (SiCxOy), 및 실리콘-카본 옥시질화물들 (silicon-carbon-oxynitrides) (SiCxOyNz)) 은 통합된 반도체 제조 프로세스들에서 베리어 재료로서 자주 사용된다. 예를 들어서, 실리콘-카본-함유 막들은 금속 확산 베리어들 (metal diffusion barriers), 에칭 정지 층들 (etch stop layers), 하드 마스크 층들 (hard mask layers), 또는 소스 및 드레인 주입을 위한 게이트 스페이서 (gate spacers for source and drain implants), MRAM (magnetoresistive random-access memory) 또는 RRAM (resistive random-access memory) 을 위한 캡슐화 베리어 (encapsulation barriers) 또는 에어 갭들에서의 기밀 확산 베리어 (hermetic diffusion barriers) 로서 채용될 수 있다. 이러한 막들은 PECVD (plasma-enhanced chemical vapor deposition) 에 의해서 유기실리콘 반응물 (organosilicon reactant) 또는 프리커서와 공반응물 (co-reactant) 간의 반응으로부터 형성된다. 반응 종들의 플라즈마 활성화는 증착 반응의 활성화 온도를 낮출 수 있으며 그렇지 않으면 불안정한 반응 경로들이 접근되게 할 수 있다. 그러나, PECVD 프로세스는 프리커서 결합들을 비선택적으로 분해하며 이로써 잠재적으로 막의 전기적 및 물리적 특성들을 예측하기 어렵게 한다.
도 1은 기판 프로세싱 모듈 (100) 의 실시예의 개략도이다. 일반적으로, 기판 프로세싱 모듈 (100) 은 기판 프로세싱 챔버 (104) 로부터 분리되지만 다중포트 가스 분배기 (106) 를 통해서 이와 유체적으로 커플링되는 (fluidly coupled) 라디칼 생성 챔버 (102) 를 포함한다. 수소 라디칼들은 라디칼 생성 챔버 (102) 내에 생성되며 기판 프로세싱 챔버 (104) 내의 화학 기상 증착 존 (108) 으로 공급된다. 유기실리콘 반응물이 화학 기상 증착 존 (108) 으로 동시에 공급되어서 수소 라디칼과 반응하여서, 기판 프로세싱 챔버 (104) 의 내부를 대면하는 기판 (112) (예를 들어서, 반도체 디바이스 기판 또는 웨이퍼) 의 표면 상에 실리콘-카본-함유 막 (110) 의 박막을 증착한다.
기판 (112) 은 페데스탈 (114) 상에 지지된다. 페데스탈 (114) 은 기판 프로세싱 챔버 (104) 내에서 이동하여서 기판 (112) 을 화학 기상 증착 존 (108) 내로 위치시킨다. 도 1에 도시된 실시예에서, 페데스탈 (114) 은 기판 진입 포트 (116) 에 의해서 로딩 위치로부터 화학 기상 증착 존 (108) 내의 증착 위치로 기판을 상승시킨다. 페데스탈 (114) 은 또한 일부 실시예들에서 기판 (112) 의 온도를 조절하여서, 잠재적으로 기판 (112) 상에서의 열적으로 활성화된 표면 반응에 대한 일부 선택적 제어를 제공한다.
도 1은 라디칼 생성 챔버 (102) 의 라디칼 생성 챔버 외측 벽 (120) (예를 들어서, 석영 돔) 주변에 배열된 코일 (118) 을 도시한다. 코일 (118) 은 플라즈마 생성기 제어기 (122) 와 전기적으로 커플링되며, 이 둘은 라디칼 생성 챔버 (102) 내에서의 유도적으로 커플링된 플라즈마 생성을 통해서 플라즈마 영역 (124) 을 형성 및 유지하는데 사용된다. 일 비한정적인 실례에서, 플라즈마 생성기 제어기 (122) 는 유도적으로 커플링된 플라즈마를 사용하여서 플라즈마를 생성하는 동안에 약 1 내지 6 킬로와트 (kW) 범위에서의 전력을 공급할 수도 있다. 일부 실시예들에서, 평행판 또는 용량적으로 커플링된 플라즈마 생성을 위한 전극들 또는 안테나들이 플라즈마 여기를 통해서 여기된 수소 라디칼들의 연속적인 공급을 생성하는데 사용될 수 있다. 라디칼 생성 챔버 (102) 내에서 플라즈마 영역 (124) 을 점화 및 유지하는데 사용되는 메카니즘에 상관 없이, 수소 라디칼들은 막 증착 동안에 플라즈마 여기를 사용하여서 연속적으로 생성된다. 일부 실시예들에서, 수소 라디칼들은 정상 상태 막 증착 동안에 대략적으로 정상 상태인 조건들 하에서 생성되지만, 막 증착의 시작 시에 그리고 끝부분에서 및/또는 유기실리콘 반응물이 기판 프로세싱 챔버 (104) 로 동시에 공급되는 인터벌의 시작 및 끝부분에서 과도현상이 발생할 수도 있다.
안정된 플라즈마 상태가 주어지면, 수소 라디칼들의 공급은 수소 가스가 라디칼 생성 챔버 (102) 내에 공급되는 동안에 플라즈마 영역 (124) 내에서 연속적으로 생성된다. 일단 형성되면, 여기된 수소 라디칼들은 약 1 * 10-3 초 이하의 활성상태 수명을 갖는다. 여기된 수소 라디칼들에 다시 여기되거나 에너지가 공급되지 않는다면, 여기된 수소 라디칼들은 빛 또는 열을 방출함으로써 또는 다른 분자 또는 표면과의 상호작용을 통해서 퀀칭함으로써 그들의 에너지를 손실하거나 완화 (relaxed) 상태가 된다. 예를 들어서, 여기된 원자적 수소 라디칼 (·H * ) 은 완화되어서 완화된 원자적 수소 라디칼 (·H ), 즉 그라운드 상태 원자적 수소를 형성할 수 있다.
플라즈마 영역 (124) 내에 형성된 플라즈마의 전자 온도에 따라서, 여기된 수소 라디칼들은 여기된 원자적 수소 라디칼들보다 많은 여기된 분자적 수소 라디칼들 (·H 2 * ) 을 포함할 수 있는데, 이는 ·H * 를 형성하는데 수소 가스를 해리하는데 필요한 에너지보다 ·H 2 * 를 형성하는데 필요한 에너지가 작기 때문이다. 유기실리콘 반응물 내의 결합들을 파괴하는데 있어서, 여기된 분자적 수소 라다칼들은 원자적 수소 라디칼들에 비해서 비선택적이며, 이는 잠재적으로 저밀도 막들을 형성한다.
반대로, 희석된 수소 가스 혼합물 (즉, 하나 이상의 추가 가스들로 희석된 수소 가스) 은 여기된 원자적 수소 라디칼들을 형성할 가능성이 보다 높을 수 있다. 예를 들어서, 여기된 헬륨 (He) 라디칼들 (·He * ) 또는 그라운드 상태 헬륨 라디칼 (·He) 은 원자적 수소 라디칼들을 형성하도록 수소 가스를 분해할 수 있다. 일부 실시예들에서, 하나 이상의 추가 가스들이 라디칼 생성 동안에 수소 가스를 따라서 라디칼 생성 챔버 (102) 내에 공급될 수 있다. 추가 가스들의 비한정적 실례들은 헬륨 (He), 네온 (Ne), 아르곤 (Ar), 크립톤 (Kr), 크세논 (Xe) 및 질소 (N2) 를 포함한다.
하나 이상의 추가 가스들을 공급하는 것은 라디칼 생성 챔버 (102) 내의 정상 상태 플라즈마 조건들을 지원 또는 안정화시키거나 과도상태 플라즈마 점화 또는 소화 프로세스들 (즉, 기판 (112) 프로세싱 이전에 그리고 이후에 플라즈마를 점화 또는 퀀칭하는 프로세스들) 을 지원할 수 있다. 일부 실시예들에서, 이하에서 보다 상세하게 기술되는 바와 같이, 헬륨으로 수소 가스를 희석하는 것은 수반되는 플라즈마 브랙다운 (concomitant plasma breakdown) 없이 라디칼 생성 챔버 (102) 내의 보다 높은 총 압력을 가능하게 한다. 달리 말하면, 헬륨 내의 수소의 희석 수소 가스 혼합물은 라디칼 생성 챔버 (102) 로의 플라즈마 전력을 증가시키지 않고서 보다 높은 총 가스 압력을 가능하게 한다. 일부 프로세스 세팅들에서, 이는 막 밀도를 감소시키지 않으면서 막 증착을 촉진한다.
도 1에 도시된 실시예는 프로세스 동안에 수소 가스 및 헬륨 가스를 공급하기 위해서 라디칼 생성 챔버 (102) 에 유체적으로 커플링된 헬륨 가스 소스 (128) 및 수소 가스 소스 (126) 를 도시한다. 일부 실시예들에서, 수소 가스 또는 헬륨 가스 또는 이들의 혼합물이 통상적으로 정상 상태 플로우 레이트, 약 2 내지 40 slm (standard liters per minute) 의 플로우 레이트 범위에서; 또는 바람직하게는 약 5 내지 25 slm 의 플로우 레이트 범위에서; 또는 보다 바람직하게는 약 10 내지 20 slm 의 플로우 레이트 범위에서 연속적으로 공급될 수도 있다. 일부 실시예들에서, 라디칼 생성 챔버 (102) 내의 수소와 헬륨의 혼합물은 헬륨 내에 약 1 내지 99 볼륨 퍼센트 (vol %) 수소 가스의 정상 상태 농도를 포함할 수 있다. 바람직하게는, 수소와 헬륨의 혼합물은 헬륨 내에 약 1 내지 17 볼륨 퍼센트 (vol %) 수소 가스의 정상 상태 농도 또는 보다 바람직하게는 헬륨 내에 약 1 내지 10 볼륨 퍼센트 (vol %) 수소 가스의 정상 상태 농도, 또는 보다 더 바람직하게는 헬륨 내에 약 2 내지 5 볼륨 퍼센트 (vol %) 수소 가스의 정상 상태 농도를 포함할 수 있다. 도 1에 도시된 실시예는 수소 가스 및 헬륨 가스를 도입하기 위한 개별 유입구들을 도시하지만, 일부 실시예들에서, 수소와 하나 이상의 추가 가스들의 혼합물이 라디칼 생성 챔버 (102) 내로 바로 도입될 수도 있다. 즉, 사전 혼합된 희석된 수소 혼합물이 라디칼 생성 챔버 (102) 에 공급될 수 있다.
여기된 수소 라디칼 및 헬륨 라디칼 및 완화된 가스들을 포함하는 가스들은 라디칼 생성 챔버 (102) 로부터 가스 플로우 경로 (130) 를 따라서 다중포트 가스 분배기 (106) 를 통해서 기판 프로세싱 챔버 (104) 로 유동한다. 다중포트 가스 분배기 (106) 내의 가스들은 그 내에서 연속하는 플라즈마 여기를 받지 않는다. 일부 실시예들에서, 다중포트 가스 분배기 (106) 는, 플라즈마 영역이 라디칼 생성 챔버 (102) 내에 전적으로 포함되도록, 플라즈마 영역 (124) 을 종단시키기 위해서 반대방향의 극성 (예를 들어서, 접지 전극) 으로서 작용할 수 있다.
일부 실시예들에서, 다중포트 가스 분배기 (106) 는 여기된 수소 라디칼을 라디칼 완화 존으로 도입시키기 이전에 이온 필터 또는 광자 필터 (photon filter) (예를 들어서, 자외선 (UV) 광과 같은 광의 하나 이상의 파장을 필터링함) 또는 이 둘을 포함할 수 있다. 이온 및 광자를 필터링하는 것은 기판 프로세싱 챔버 (104) 내에서의 (예를 들어서, 이온 충격에 의한) 기판 손상, (예를 들어서, UV 여기를 통한) 분자들의 바람직하지 않은 재여기 및/또는 유기실리콘 반응물의 비선택적 브랙다운 또는 분해를 방지할 수도 있다. 도 1에서, 다중포트 가스 분배기 (106) 는 필터 (132) 를 구비한 것으로 도시된다.
다중포트 가스 분배기 (106) 는 기판 프로세싱 챔버 (104) 내로 가스들의 플로우를 확산 및 분산시키기 위해서 상호 이격된 가스 포트들 (134) 의 그룹을 갖는다. 도 1에 도시된 실시예에서, 이 그룹은 기판 프로세싱 챔버 (104) 로부터 라디칼 생성 챔버 (102) 를 분리시키는 장벽 플레이트 (136) 을 관통하는 규칙적으로 이격된 채널들 또는 관통홀들의 어레이로서 도시된다. 가스 포트들 (134) 은 도 1에 도시된 바와 같이 다중포트 가스 분배기 (106) 를 직선으로 통과하거나 하나 이상의 곡선부들을 포함할 수도 있다. 일부 실시예들에서, 다중포트 가스 분배기 (106) 는 라디칼 생성 챔버 (102) 를 나가는 가스들을 기판 프로세싱 챔버 (104) 내로 원활하게 분산 및 확산시키기 위해서 300 개 이상의 가스 포트들 (134) 을 가질 수도 있다.
일부 프로세스 조건들에서, 예를 들어서, 약 1 내지 1.5 Torr보다 작은 라디칼 생성 챔버 압력에서, 라디칼 소멸의 주요 모드는 라디칼과 벽 표면들 간의 충돌로부터 기인된다. 통상적으로, 원격 플라즈마 생성기들은 반응 용기로부터 멀리 떨어져 있으며, 때로 0.3 미터 이상의 길이를 가질 수도 있는 슬렌더 튜브들 (slender tubes) 을 통해서 반응 용기에 유체적으로 커플링되는 플라즈마 소스들을 갖는다. 이로써, 예를 들어서 벽 충돌 이벤트를 통한, 라디칼 소멸 및 재결합은 활성 종 농도를 극적으로 감소시킬 수 있다. 이와 반대로, 일부 실시예들에서, 가스 포트들 (134) 의 치수들이 기판 프로세싱 챔버 (104) 로의 라디칼의 자유로운 통과를 지원하기 위해서 통상적인 프로세싱 조건들 하에서 평균 자유 경로 또는 가스 흐름 체류 시간의 차원에서 구성될 수 있다. 일부 실시예들에서, 다중포트 가스 분배기 (106) 내의 가스 포트들 (134) 을 위한 개구들은 다중포트 가스 분배기 (106) 의 노출된 표면적의 약 5 내지 20 % 범위를 점유할 수도 있다. 즉, 다중포트 가스 분배기 (106) 의 약 5 내지 20 % 은 개방된 공간이며, 이를 통해서 가스들이 라디칼 생성 챔버 (102) 로부터 기판 프로세싱 챔버 (104) 로 유동할 수 있다. 일부 실시예들에서, 가스 포트들 (134) 은 약 3:1 내지 10:1의 축 길이 대 직경 비 및 바람직하게는 약 6:1 내지 8:1의 축 길이 대 직경 비를 갖는다. 이러한 범위의 가스 포트 종횡비들은 대부분의 여기된 상태의 수소 라디칼들이 그라운드 상태 수소 라디칼들로 완화되는데 충분한 시간을 제공하면서 가스 포트들 (134) 를 통과하는 모든 수소 라디칼들의 벽-충돌 빈도를 줄일 수 있다. 일부 실시예들에서, 가스 포트들 (134) 은, 다중포트 가스 분배기 (106) 를 통과하는 가스들의 체류 시간이 여기된 수소 라디칼의 통상적인 활성상태 완화 시간보다 길도록, 크기를 가질 수도 있다. 예를 들어서, 가스 포트들 (134) 은, 다중포트 가스 분배기 (106) 를 통과하는 가스들의 체류 시간이 적어도 약 1 * 10-3 초보다 길도록, 크기를 가질 수도 있다.
일부 여기된 라디칼들이 다중포트 가스 분배기 (106) 통과하여서 기판 프로세싱 챔버 (104) 내로 들어가서 살아있다면 활성 종들의 전체 농도는 더 커질 수도 있지만, 기판 프로세싱 챔버 (104) 내에서의 여기된 라디칼들과 유기실리콘 반응물 간의 접촉은 바람직하지 않은 프로세싱으로 이어질 수도 있다. 예를 들어서, 여기된 라디칼들과 유기실리콘 반응물 간의 가스상 반응들은 유기실리콘 반응물의 비선택적 분해를 야기하며, 이로써 잠재적으로 막의 조성 또는 다른 물리적 또는 전기적 특성들 (예를 들어서, 다른 것들 중에서도, 막 밀도, 막 시트 저항, 막 기밀성, 및 막 에칭 저항) 을 변화시켜버린다. 여기된 라디칼들과 유기실리콘 반응물 간의 다른 가스상 반응들은 소형 입자들로 기판 (112) 을 부착시키며, 이로써 잠재적으로 후속하는 기판 프로세스 이벤트 동안에 패터닝 디펙트에 이를 수 있다. 따라서, 가스 포트들 (134) 을 나가는 프로세스 가스들은 가스 플로우 경로 (130) 를 따라서, 화학 기상 증착 존 (108) 의 업스트림에 있는 기판 프로세싱 챔버 (104) 의 내측 내에서 전적으로 존재하는 라디칼 완화 존 (138) 내로 유동한다. 라디칼 완화 존 (138) 내로 유동하는 실질적으로 모든 여기된 수소 라디칼들은 완화된 수소 라디칼로 바뀐다. 달리 말하면, 라디칼 완화 존 (138) 내로 진입한 대부분의 모든 여기된 수소 라디칼들은, 허용가능한 공차 내에서, 라디칼 완화 존 (138) 을 나가기 이전에, 완화된 상태 또는 그라운드 상태로 탈여기되거나 천이된다. 본 개시의 범위 내의 허용가능한 공차는 적어도 1 * 10-3 초, 및 보다 바람직하게는 1 * 10-3 초 내지 1 * 10-1 초의 라디칼 완화 존 (138) 내에서의 수소 가스의 체류 시간으로부터 기인되는 여기된 수소 라디칼의 농도를 포함할 수도 있다. 체류 시간은 측정하기 어려울 수도 있기 때문에, 실제로 라디칼 완화 존 (138) 내에서의 수소 가스의 체류 시간은 적합한 메시 크기를 갖는 실제 시스템의 모델을 사용하는 임의의 상업적인 계산형 유체 역학 소프트웨어 (예를 들어서, Massachusetts, Burlington 소재의 Comsol, Inc.에 의해서 COMSOL MULTIPHYSICS®로 판매되는 유한 요소 분석 시뮬레이터 및 솔버 (solver) 등) 에 의해서 결정될 수도 있다.
일부 실시예들에서, 라디칼 완화 존 (138) 을 통한 유동하는 가스의 체류 시간이 적어도 1 * 10-3 초가 되도록 프로세스 조건들 (예를 들어서, 압력 및 가스 플로우 레이트들) 및/또는 라디칼 완화 존 (138) 의 기하구조가 설정될 수도 있다. 일부 실시예들에서, 라디칼 완화 존 (138) 을 통한 유동하는 수소 가스의 체류 시간이 라디칼 완화 존 (138) 내에서의 라디칼-퀀칭 분자간 충돌들로부터 기인되는 완화 시간 (예를 들어서, 평균 자유 경로 및 평균 분자적 속도에 의해서 결정된 시간) 보다 작도록, 프로세스 조건들 및/또는 라디칼 완화 존 (138) 의 기하구조가 설정될 수 있으며, 이로써 그라운드 상태 수소 라디칼들이 플로우 경로 (130) 내에서 화학 기상 증착 존 (108) 의 업스트림에 있는 라디칼 완화 존 (138) 을 나오는 가스들 내에 여전히 존재한다.
수소 라디칼들의 라디칼 완화 존 (138) 으로의 전달과 동시에, 유기실리콘 반응물 소스 (140) 로부터의 유기실리콘 반응물의 플로우가 화학적 기상 증착 존 (108) 으로 도입된다. 일부 실시예들에서, 유기실리콘 반응물은 약 0.5 내지 25 sccm (standard cubic centimeter per minute) 의 플로우 레이트 범위로 공급될 수도 있다. 바람직하게는, 유기실리콘 반응물은 약 1 내지 10 sccm 의 플로우 레이트 범위로 그리고 보다 바람직하게는, 유기실리콘 반응물은 약 1 내지 5 sccm 의 플로우 레이트 범위로 공급될 수도 있다.
유기실리콘 반응물은 플로우 경로 (130) 내에서 라디칼 완화 존 (138) 다운스트림에 있는 유기실리콘 반응물 주입 위치 (144) 에 위치한 유기실리콘 반응물 분배기 (142) 를 통해서 도입된다. 라디칼 완화 존 (138) 은 전체가 다중포트 가스 분배기 (106) 와 유기실리콘 반응물 분배기 (142) 간에 형성된 공간 내에 포함된다. 도 1에 도시된 실시예에서, 유기실리콘 반응물 분배기 (142) 는 유기실리콘 반응물이 기판 프로세싱 챔버 (104) 를 통한 가스 혼합물의 플로우와 평행한 방향으로 가스 플로우 경로 (130) 내로 도입되도록 위치한 상호 이격된 반응물 개구들을 포함한다.
도 2는 유기실리콘 반응물 분배기 (200) 의 다른 실시예를 도시한다. 도 2에서, 아포스트로피가 달아진, 도 1과 동일한 숫자들을 갖는 참조 번호들은 유사한 구조체들을 식별한다. 예를 들어서, 도 2의 참조 번호 (138') 는 도 1의 라디칼 완화 존 (138) 과 유사한 라디칼 완화 존을 식별한다. 도 2의 실시예에서, 상호 이격된 반응물 개구들 (202) 이, 유기실리콘 반응물이 라디칼 완화 존 (138') 의 다운스트림에 있는 위치에서 기판 프로세싱 챔버 (104') 를 통한 가스 혼합물 플로우와 교차하는 방향으로 가스 플로우 경로 (130') 에 도입되도록, 기판 프로세싱 챔버 (104') 의 벽들에 배열된다.
도 2에 도시된 실시예에서, 화학적 기상 증착 존 (108') 은 다중포트 가스 분배기 (106') 와 기판 (112) 간에서 기판 프로세싱 챔버 (104') 의 내부 내에서 형성되고, 라디칼 완화 존 (138') 에 의해서 다중포트 가스 분배기 (106') 로부터 분리된다. 이로써, 유기실리콘 반응물이 실질적으로 모두 다중포트 가스 분배기 (106') 에 인접한 위치에서의 여기된 가스들과 혼합되지 않게 되는데, 이 다중포트 가스 분배기 (106') 에 인접한 위치에서의 여기된 수소 라디칼의 농도는 기판 프로세싱 챔버 (104') 내의 어느 곳에서보다 그 농도가 높다.
일부 실시예들에서, 유기실리콘 반응물 주입 위치 (144, 144') 는 완화된 수소 라디칼들이 유기실리콘 반응물과 혼합되거나 서로 섞이는 (co-mingle) 하는 플로우 경로 (130, 130') 내의 영역 또는 위치에 인접할 수도 있다. 도 1 및 도 2에서, 혼합 위치 "M" 은 완화된 수소 라디칼들이 유기실리콘 반응물과 혼합되는 영역을 표시한다. 희석 또는 반응 또는 이 둘을 통해서, 이 혼합 위치에서의 유기실리콘 반응물의 농도는 플로우 경로 (130) 내의 혼합 위치의 업스트림에 있는 위치들에서의 유기실리콘 반응물의 농도를 초과할 것이 예상된다. 또한, 완화된 수소 라디칼들의 농도도 플로우 경로 (130) 내의 혼합 위치의 업스트림에 있는 위치들에서의 유기실리콘 반응물의 농도를 초과할 것이 예상된다. 따라서, 이 혼합 위치는 라디칼 완화 존 (138) 및 그 내에서 발생하는 에너지 상태 완화와 화학적 기상 증착 존 (108) 및 그 내에서 발생하는 반응성 프로세스들 간의 개념적 경계를 나타낸다. 물론, 이 경계의 형상 및 두께는 국부 유체 플로우 프로파일 (예를 들어서, 플러그 플로우, 파라볼릭 플로우 또는 이들 간의 프로파일들) 및 국부적 유체 확산 프로파일에 따라서 변할 수도 있다. 유체 플로우 프로파일 및 유체 확산 프로파일은 측정하기 어렵기 때문에, 실제로는 하나 또는 둘이 실제 시스템의 모델을 사용하는 임의의 상업적 계산형 유체 역학 소프트웨어를 사용하여서 시뮬레이션된다.
일부 실시예들에서, 유기실리콘 반응물 주입 위치 (144) 는 플로우 경로 (130) 를 따라서 유기실리콘 반응물의 역 확산 또는 역 유동을 방지하기 충분한 거리만큼 다중포트 가스 분배기 (106) 로부터 이격될 수도 있다. 일부 실시예들에서, 유기실리콘 반응물 주입 위치 (144) 는 약 0.5 내지 5 인치의 플로우 경로 (130) 의 방향을 따르는 거리만큼, 바람직하게는 약 1.5 내지 4.5 인치의 플로우 경로 (130) 의 방향을 따르는 거리만큼, 보다 바람직하게는 약 1.5 내지 3 인치의 플로우 경로 (130) 의 방향을 따르는 거리만큼 다중포트 가스 분배기 (106) 내의 가스 포트들 (134) 로부터 이격될 수도 있다. 일부 실시예들에서, 유기실리콘 반응물 주입 위치 (144) 는, 가스 포트들 (134) 에 인접한 위치에서 존재하는 유기실리콘 반응물의 질량 분율이 약 0.1 이하가 되도록, 다중포트 가스 분배기 (106) 에 대해서 위치할 수도 있다. 유기실리콘 질량 분율을 국부적으로 측정하기 어렵기 때문에, 적합한 메시 크기를 갖는 실제 시스템의 모델을 사용하는 임의의 상업적 계산형 유체 역학 소프트웨어를 사용하여서 가스 포트들 (134) 의 출구 개구들에서의 유기실리콘 반응물의 농도 또는 질량 분율을 결정할 수도 있다. 바람직하게는, 유기실리콘 반응물 주입 위치 (144) 는, 유기실리콘 반응물의 질량 분율이 가스 포트들 (134) 의 출구 개구들에서 약 0.02 이하가 되도록, 보다 바람직하게는, 유기실리콘 반응물의 질량 분율이 가스 포트들 (134) 의 출구 개구들에서 약 0.015 이하가 되도록, 다중포트 가스 분배기 (106) 로부터 이격될 수 있다.
라디칼 완화 존 (138) 으로부터 화학적 기상 증착 존 (108) 으로 유동한 완화된 수소 라디칼들 중 적어도 일부는 이 화학적 기상 증착 존 (108) 내에서 동시에 유동하는 유기실리콘 반응물 중 일부과 반응하여서, 실리콘-카본 함유 막 (110) 이 기판 (112) 상에 증착되게 한다. 이로써, 화학적 기상 증착 존 (108) 은, 실리콘-카본 함유 막 (110) 의 CVD 형성 동안에 완화된 수소 라디칼들과 유기실리콘 반응물이 혼합되어 가스 상으로 반응하는, 기판 프로세싱 챔버 (104) 의 부분을 나타낸다. 일부 실시예들에서, 기판 (112) 의 표면에서의 막 증착 레이트를 조절하도록 (예를 들어서, 화학적 기상 증착 존 (108) 또는 페데스탈 (114) 을 가열함으로써) 기판 프로세싱 챔버 (104) 에 열이 공급될 수 있다. 일부 실시예들에서, 기판 (112) 의 벌크 온도는 약 200 내지 약 400 ℃의 온도에서 유지될 수도 있다. 일부 실시예들에서, 기판 (112) 의 벌크 온도는 약 250 ℃보다 낮은 온도에서 유지될 수도 있다.
당연하게, 실리콘-카본 함유 막 (110) 의 조성은 유기실리콘 반응물의 특성에 따라서 변할 수도 있다. 일부 실시예들에서, 유기실리콘 반응물은 적어도 하나의 Si-H 결합, 또는 적어도 하나의 Si-Si 결합을 포함하며, 이들 결합 중 어느 하나는 완화된 수소 라디칼과의 결합 절단 반응에 민감할 수도 있다.
유기실리콘 반응물은 실리콘-카본 함유 막 (110) 내에서의 목표된 조성 특성들 및 일부 경우들에서, 물리적 또는 전자적 특성을 제공하도록 선택 및 공급된다. 유기실리콘 반응물의 실례는 다른 것들 중에서도, 실록산 (siloxanes), 실란 (silanes), 알킬 실란 (alkyl silanes), 알콕시 실란 (alkoxy silanes), 및 아미노 실란 (amino silanes) 을 포함한다. 예를 들어서, 실리콘-카본 함유 막들 (예를 들어서, 실리콘 카바이드, 실리콘-카본 산화물 및 실리콘-카본 옥시질화물) 은 실란들을 사용하여서 형성될 수도 있다. 실란들의 비한정적 실례들은 실란, 디실란, 트리실란 및 보다 높은 수의 실란들을 포함한다. 알킬 실란의 비한정적 실례는 디메틸실란 (dimethylsilane), 트리메틸실란 (trimethylsilane), 테트라메틸실란 (tetramethylsilane), 트리에틸실란 (triethylsilane), 및 펜타메틸디실라메탄 (pentamethyldisilamethane) 을 포함한다.
산소 원자를 포함하는 실리콘-카본 함유 막들 (예를 들어서, 실리콘-카본 산화물 (silicon-carbon-oxides) 및 실리콘-카본 옥시질화물 (silicon-carbon-oxynitrides)) 이 실록산 및 알콕시 실란과 같은 산소를 포함하는 유기실리콘 반응물을 사용하여서 형성될 수 있다. 실록산들의 비한정적 실례는 사이클로테트라실록산들 (cyclotetrasiloxanes) (예를 들어, 2,4,6,8-tetramethylcyclotetrasiloxane; octamethylcyclotetrasiloxane; 및 heptamethylcyclotetrasiloxane); 다른 사이클릭 실록산들 (other cyclic siloxanes); 실세퀴옥산 (silsequioxane) 과 같은, 3 차원 또는 캐이지된 구조들 (three-dimensional or caged structures) 을 갖는 실록산들 (즉, 여기서, 실리콘 원자들은 산소 원자들을 통해서 서로 브지리되며 (bridged) 이로써 3차원 구조 또는 폴리헤드론을 형성함) ; 및 디실록산들과 같은 선형 실록산들 (예를 들어, pentamethyldisiloxane, tetramethyldisiloxane, 및 hexamethyl trisiloxane) 을 포함한다. 실록산의 구조적 구성 (즉, 선형, 사이클릭, 또는 캐이지된 구조) 은 막 기공 특성에 영향을 준다. 예를 들어서, 사이클릭 실록산들은 사이클릭 링 크기에 따라서 크기가 정해지는 기공들을 가지며, 캐이지된 실록산들은 메조포러스 막들 (mesoporous films) 을 형성한다. 알콕시 실란들의 비한정적 실례들은 메톡시실란, 디메톡시실란 (dimethoxysilane), 트리메톡시실란 (trimethoxysilane), 메틸디메톡시실란 (methyldimethoxysilane), 디에톡시메틸실란 (diethoxymethylsilane), 디메틸에톡시실란 (dimethylethoxysilane), 및 디메틸메톡시실란 (dimethylmethoxysilane) 을 포함한다.
또한, 질소 원자를 포함하는 실리콘-카본 함유 막들 (예를 들어, 실리콘-카본 질화물들 (silicon-carbon-nitrides) 및 실리콘-카본 옥시질화물들 (silicon-carbon-oxynitrides)) 이 아미노 실란들 및 실라잔들 (amino silanes and silazanes) 과 같은 질소를 포함하는 유기실리콘 반응물을 사용하여서 형성될 수 있다. 아미노 실란들의 비한정적 실례들은 2,2-bis(디메틸아미노(dimethylamino))-4,4-디메틸(dimethyl)-2,4-디실라펜테인(disilapentane), 2,2,4-트리메틸(trimethyl)-4-디메틸아미노(dimethylamino)-3,4-디실라펜테인(disilapentane), 디메틸아미노디메틸실란 (dimethylaminodimethylsilane), bis(디메틸아미노(dimethylamino))메틸실란(methylsilane), 및 tris(디메틸아미노)실란을 포함한다. 1,1,3,3-테트라메틸디실라잔(tetramethyldisilazane) 이 실라잔의 비한정적 실례이다.
당연하게, 하나 이상의 유기실리콘 반응물들이 실리콘-카본 함유 막 (110) 에서 목표된 조성에 따라서 선택될 수도 있다. 예를 들어서, 실리콘-카본 산화물은 실란과 실록산의 혼합물을 공급함으로써 형성될 수도 있다. 일부 실시예들에서, 유기실리콘 반응물은 실리콘-카본 함유 막 (110) 에 모든 헤테로원자들을 제공할 수도 있다. 예를 들어서, 디메틸아미노메틸에톡시실란 (dimethylaminomethylethoxysilane) 은 실리콘-카본-옥시질화물 막 증착 프로세스에 산소 원자 및 질소 원자를 제공할 수 있다.
프로세스 가스는 펌프 (미도시) 에 유체적으로 커플링되게 구성된 가스 유출구 (148) 를 통해서 기판 프로세싱 챔버 (104) 로부터 제거된다. 이로써, 과잉 유기실리콘 반응물, 수소 가스, 및 임의의 다른 희석 및 배출 또는 퍼지 가스들이 가스 유출구 (148) 를 통해서 배기 또는 배출 퍼지 또는 이 둘에 의해서 기판 프로세싱 챔버 (104) 로부터 제거된다. 일부 실시예들에서, 가스 유출구 (148) 는 기판 프로세싱 모듈 (100) 로부터 나오는 가스 플로우를 쓰로틀링하여서 그 내의 압력을 조절하도록 구성된 압력 제어 디바이스 (예를 들어서, 압력 제어 밸브) 와 유체적으로 커플링될 수도 있다.
도 1에 도시된 실시예는 또한 기판 프로세싱 모듈 (100) 과 동작하게 연결되게 (예를 들어서, 전기적 연결 또는 공압적 연결) 기판 모듈 제어기 (150) 를 도시한다. 기판 모듈 제어기 (150) 는 기판 프로세싱 모듈 (100) 의 증착 동작 및 유지 동작을 제어한다.
도 1에 도시된 실시예에서, 기판 모듈 제어기 (150) 는 데이터 서브시스템 (154) (예를 들어서, 메모리) 내에 유지된 인스트럭션들을 실행하도록 구성된 프로세싱 서브시스템 (152) (예를 들어서, 마이크로프로세서) 를 포함한다. 예를 들어서, 데이터 서브시스템 (154) 내에 저장된 기판 프로세싱 레시피가 막 증착 동안에 기판 프로세싱 모듈 (100) 의 동작을 제어하도록 프로세싱 서브시스템 (152) 에 의해서 실행될 수도 있다. 실례로서, 도 1에 도시된 기판 모듈 제어기 (150) 의 실시예는 플라즈마 점화, 동작 및 소멸 이벤트 동안에 플라즈마 영역 (124) 을 제어하도록 플라즈마 생성기 제어기 (122) 와 통신한다. 기판 모듈 제어기 (150) 는 페데스탈 상승 제어 및 페데스탈 온도 제어를 제공하도록 페데스탈 (114) 과 통신한다. 일부 실시예들에서, 기판 모듈 제어기 (150) 는 라디칼 생성 챔버 (102) 및/또는 기판 프로세싱 챔버 (104) 내의 압력을 제어할 수도 있다. 일부 실시예들에서, 기판 모듈 제어기 (150) 는 수소 가스 소스 (126), 헬륨 가스 소스 (128) 및 유기실리콘 반응물 소스 (140) 의 기판 프로세싱 모듈 (100) 내로의 플로우를 조절하는 가스 플로우 레이트 제어기를 동작할 수도 있다.
설명의 용이성을 위해서, 기판 프로세싱 모듈 (100) 은 단독형으로 도시 및 기술되었다. 일부 실시예들에서, 2 개 이상의 기판 프로세싱 모듈 (100) 이 서로 커플링되어서 기판들의 순차적 또는 병렬적 프로세스를 제공할 수도 있다. 도 3은 기판 전달 스테이션 (302) 에 의해서 함께 커플링된 몇 개의 기판 프로세싱 모듈 (100) 을 갖는 예시적인 기판 프로세싱 플랫폼 (300) 을 개략 도시한다. 기판 전달 스테이션 (302) 은 개별 프로세싱 모듈 내로 그리고 외부로 그리고 그들 간에서 그리고 로딩 포트 (306) 를 통해서 기판 프로세싱 플랫폼 (300) 내로 그리고 외부로 기판들을 이동하는 기판 핸들러 (304) 를 포함한다.
다수의 변경들이 본 발명의 기본적인 원리들로부터 벗어나지 않으면서 상술한 실시예들의 세부사항들에 대해서 이루어질 수도 있음은 본 기술 분야의 당업자에게 자명하다. 본 발명의 범위는 따라서 다음의 청구항들에 의해서만 결정되어야 한다.

Claims (20)

  1. 기판 상에 실리콘-카본-함유 막을 증착하는 방법으로서,
    기판 프로세싱 챔버 내에 기판을 지지시켜서 상기 기판 프로세싱 챔버의 내부에 상기 기판의 주 표면을 노출시키는 단계;
    상기 기판 프로세싱 챔버로부터 분리되며 상기 기판 프로세싱 챔버와 다중포트 가스 분배기를 통해서 유체적으로 커플링된 (fluidly coupled) 라디칼 생성 챔버 내로 수소 (H2) 가스 플로우를 도입하는 단계로서, 상기 다중포트 가스 분배기는, 상기 라디칼 생성 챔버로부터 상기 다중포트 분배기를 통하여 상기 기판 프로세싱 챔버의 내부에 전체가 포함된 라디칼 완화 존 (radical relaxation zone) 내로의 플로우 경로를 확립하도록, 상기 기판 프로세싱 챔버 내로 향하는 상호 이격된 가스 포트들의 그룹을 갖는, 상기 수소 (H2) 가스 플로우를 도입하는 단계;
    여기된 수소 라디칼들의 적어도 일부가 상기 플로우 경로를 따라서 상기 다중포트 가스 분배기를 통해서 상기 라디칼 완화 존으로 유동하여서 상기 라디칼 완화 존 내로 유동한 여기된 수소 라디칼들이 실질적으로 모두 완화된 수소 라디칼들로 천이하도록, 상기 수소 (H2) 가스 플로우 내에서 여기된 수소 라디칼들을 형성하게 상기 라디칼 생성 챔버 내에서 상기 수소 (H2) 가스의 적어도 일부를 여기하는 단계; 및
    상기 기판의 주 표면과 상기 라디칼 완화 존 간에서 상기 기판 프로세싱 챔버의 내부 내에 형성된 화학적 기상 증착 존 내로 유기실리콘 반응물 플로우를 도입하는 단계를 포함하며,
    상기 완화된 수소 라디칼들의 적어도 일부가 상기 라디칼 완화 존으로부터 상기 화학 기상 증착 존 내로 유동하는 것은 상기 유기실리콘 반응물 플로우와 동시적이며 상기 완화된 수소 라디칼들은 상기 화학 기상 증착 존 내에서 상기 유기실리콘 반응물의 일부와 반응하여서, 상기 기판의 주 표면 상에 실리콘-카본-함유 막을 증착하는, 실리콘-카본-함유 막 증착 방법.
  2. 제 1 항에 있어서,
    상기 유기실리콘 반응물은 상호 이격된 반응물 개구들을 통해서 도입되며,
    상기 라디칼 완화 존은 전체가 상기 다중포트 분배기와 상기 상호 이격된 반응물 개구들 간에 형성된 공간 내에 포함되는, 실리콘-카본-함유 막 증착 방법.
  3. 제 2 항에 있어서,
    상기 상호 이격된 반응물 개구들은, 상기 유기실리콘 반응물 플로우가 상기 플로우 경로와 평행한 방향으로 도입되도록, 배열되는, 실리콘-카본-함유 막 증착 방법.
  4. 제 2 항에 있어서,
    상기 상호 이격된 반응물 개구들은, 상기 유기실리콘 반응물 플로우가 상기 플로우 경로와 교차하는 방향으로 도입되도록, 배열되는, 실리콘-카본-함유 막 증착 방법.
  5. 제 2 항에 있어서,
    상기 상호 이격된 가스 포트들의 그룹은 약 0.5 내지 약 5 인치의 거리만큼 상기 상호 이격된 반응물 개구들로부터 분리된, 실리콘-카본-함유 막 증착 방법.
  6. 제 1 항에 있어서,
    상기 다중포트 가스 분배기 내에 포함된 상기 상호 이격된 가스 포트들의 그룹은 규칙적으로 이격된 가스 포트들의 어레이로서 구성되는, 실리콘-카본-함유 막 증착 방법.
  7. 제 1 항에 있어서,
    가스 유출구를 통해서 배기 (evacuation) 또는 배출 퍼징 (displacement purging) 또는 양자에 의해서 상기 기판 프로세싱 챔버로부터 과잉 유기실리콘 반응물 및 수소 가스를 제거하는 단계를 더 포함하는, 실리콘-카본-함유 막 증착 방법.
  8. 제 1 항에 있어서,
    상기 다중포트 가스 분배기 내에서의 여기된 수소 라디칼의 평균 체류 시간은 약 1 * 10-3 초보다 긴, 실리콘-카본-함유 막 증착 방법.
  9. 제 1 항에 있어서,
    상기 라디칼 완화 존 내에서의 가스의 평균 체류 시간은 약 1 * 10-3 초보다 긴, 실리콘-카본-함유 막 증착 방법.
  10. 제 1 항에 있어서,
    상기 다중포트 가스 분배기 내에 포함된 상기 가스 포트들은 약 3:1 내지 10:1의 범위에서 축 길이 대 직경 비를 갖는, 실리콘-카본-함유 막 증착 방법.
  11. 제 1 항에 있어서,
    수소 가스 플로우를 도입하는 단계는 수소 가스와 헬륨 가스의 가스 혼합물을 도입하는 단계를 포함하며,
    상기 가스 혼합물은 약 1 내지 약 17 볼륨 퍼센트 수소 가스를 포함하는, 실리콘-카본-함유 막 증착 방법.
  12. 제 1 항에 있어서,
    상기 유기실리콘 반응물은 실록산들, 실란들, 알킬 실란들, 알콕시 실란들 및 아미노 실란들로 구성된 그룹으로부터 선택되는, 실리콘-카본-함유 막 증착 방법.
  13. 제 1 항에 있어서,
    상기 여기된 수소 라디칼들을 상기 라디칼 완화 존으로 도입하기 이전에, 상기 가스 플로우 경로를 이온 필터 또는 광자 필터 또는 이 둘 모두를 통하도록 이끄는 단계를 더 포함하는, 실리콘-카본-함유 막 증착 방법.
  14. 제 1 항에 있어서,
    상기 다중포트 가스 분배기 근처의 상기 라디칼 완화 존 내에서의 상기 유기실리콘 반응물의 질량 분율은 약 0.1 이하인, 실리콘-카본-함유 막 증착 방법.
  15. 기판 상에 실리콘-카본-함유 막을 증착하는 방법으로서,
    기판 프로세싱 챔버 내에 기판을 지지시켜서 상기 기판 프로세싱 챔버의 내부에 상기 기판의 주 표면을 노출시키는 단계;
    상기 기판 프로세싱 챔버로부터 분리되며 상기 기판 프로세싱 챔버와 다중포트 가스 분배기를 통해서 유체적으로 커플링된 (fluidly coupled) 라디칼 생성 챔버 내로, 헬륨 가스 내의 희석된 수소 (H2) 가스의 가스 혼합물의 일정한 (steady) 플로우를 도입하는 단계로서, 상기 다중포트 가스 분배기는, 상기 라디칼 생성 챔버로부터 상기 다중포트 분배기를 통하여 상기 기판 프로세싱 챔버의 내부에 전체가 포함된 라디칼 완화 존 (radical relaxation zone) 내로 그리고 화학적 기상 증착 존 내로의 플로우 경로를 확립하도록, 상기 기판 프로세싱 챔버 내로 향하는 상호 이격된 가스 포트들의 그룹을 포함하며, 상기 화학적 기상 증착 존은 상기 기판의 주 표면과 상기 다중포트 가스 분배기 간에서 상기 기판 프로세싱 챔버의 내부 내에 형성되며 상기 라디칼 완화 존에 의해서 상기 다중포트 가스 분배기로부터 이격된, 상기 가스 혼합물의 일정한 플로우를 도입하는 단계;
    상기 가스 혼합물의 적어도 일부가 상기 플로우 경로를 따라서 상기 다중포트 가스 분배기를 통해서 상기 라디칼 완화 존으로 유동하도록 상기 가스 혼합물 내에서 여기된 수소 라디칼들을 형성하게 상기 라디칼 생성 챔버 내에서 상기 수소 (H2) 가스의 적어도 일부를 여기하는 단계로서, 상기 가스 혼합물과 함께 상기 라디칼 완화 존으로 유입된 임의의 그리고 실질적으로 모든 여기된 수소 라디칼들이 완화된 수소 라디칼들로 천이하는, 상기 수소 가스의 적어도 일부를 여기하는 단계; 및
    상기 화학적 기상 증착 존 내로 유기실리콘 반응물의 일정한 플로우를 도입하여서, 상기 유기실리콘 반응물이 실질적으로 모두 상기 다중포트 가스 분배기에서의 가스 플로우와 혼합되는 것을 방지하며, 상기 유기실리콘 반응물의 플로우와 동시에 상기 라디칼 완화 존으로부터 유동된 적어도 일부 완화된 수소 라디칼들과 상기 유기실리콘 반응물의 적어도 일부를 반응시켜서, 상기 기판의 주 표면 상에 실리콘-카본-함유 막을 증착하는 단계를 포함하는, 실리콘-카본-함유 막 증착 방법.
  16. 제 15 항에 있어서,
    헬륨 가스 내의 희석된 수소 가스의 가스 혼합물의 일정한 플로우를 도입하는 단계는 헬륨 내에 약 1 내지 약 17 볼륨 퍼센트 수소 가스를 포함하는 희석된 수소 가스 혼합물을 도입하는 단계를 포함하는, 실리콘-카본-함유 막 증착 방법.
  17. 제 15 항에 있어서,
    상기 다중포트 가스 분배기 내에서의 여기된 수소 라디칼의 평균 체류 시간은 약 1 * 10-3 초보다 길며,
    상기 라디칼 완화 존 내에서의 가스의 평균 체류 시간은 약 1 * 10-3 초보다 긴, 실리콘-카본-함유 막 증착 방법.
  18. 제 15 항에 있어서,
    상기 유기실리콘 반응물은 상호 이격된 반응물 개구들을 통해서 도입되며,
    상기 라디칼 완화 존은 전체가 상기 다중포트 가스 분배기와 상기 상호 이격된 반응물 개구들 간에 형성된 공간 내에 포함되는, 실리콘-카본-함유 막 증착 방법.
  19. 제 18 항에 있어서,
    상기 상호 이격된 반응물 개구들은, 상기 유기실리콘 반응물 플로우가 상기 플로우 경로와 평행한 방향으로 도입되도록, 배열되는, 실리콘-카본-함유 막 증착 방법.
  20. 제 18 항에 있어서,
    상기 상호 이격된 반응물 개구들은, 상기 유기실리콘 반응물 플로우가 상기 플로우 경로와 교차하는 방향으로 도입되도록, 배열되는, 실리콘-카본-함유 막 증착 방법.
KR1020140144392A 2013-10-24 2014-10-23 실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들 KR102384170B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220017600A KR102499408B1 (ko) 2013-10-24 2022-02-10 실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/062,648 2013-10-24
US14/062,648 US9371579B2 (en) 2013-10-24 2013-10-24 Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220017600A Division KR102499408B1 (ko) 2013-10-24 2022-02-10 실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들

Publications (2)

Publication Number Publication Date
KR20150047439A true KR20150047439A (ko) 2015-05-04
KR102384170B1 KR102384170B1 (ko) 2022-04-06

Family

ID=52995754

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140144392A KR102384170B1 (ko) 2013-10-24 2014-10-23 실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들
KR1020220017600A KR102499408B1 (ko) 2013-10-24 2022-02-10 실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들
KR1020230016958A KR20230023703A (ko) 2013-10-24 2023-02-08 실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020220017600A KR102499408B1 (ko) 2013-10-24 2022-02-10 실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들
KR1020230016958A KR20230023703A (ko) 2013-10-24 2023-02-08 실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들

Country Status (4)

Country Link
US (2) US9371579B2 (ko)
KR (3) KR102384170B1 (ko)
CN (2) CN107400877B (ko)
TW (2) TWI638062B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR20180045047A (ko) * 2015-09-19 2018-05-03 어플라이드 머티어리얼스, 인코포레이티드 티타늄-화합물 계 하드 마스크 막들
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
KR102553253B1 (ko) * 2016-11-10 2023-07-06 삼성전자주식회사 펄스 플라즈마 분석 장치 및 그 분석 방법
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10508351B2 (en) 2017-03-16 2019-12-17 Lam Research Corporation Layer-by-layer deposition using hydrogen
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
CN115992345A (zh) * 2017-09-14 2023-04-21 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物和方法
WO2019240930A1 (en) 2018-06-11 2019-12-19 Mattson Technology, Inc. Generation of hydrogen reactive species for processing of workpieces
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20230088843A (ko) * 2018-07-24 2023-06-20 램 리써치 코포레이션 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
JP7278123B2 (ja) * 2019-03-22 2023-05-19 東京エレクトロン株式会社 処理方法
KR20230098884A (ko) * 2020-11-24 2023-07-04 매슨 테크놀로지 인크 열 처리 시스템을 위한 성형 가스를 갖는 아크 램프

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020058594A (ko) * 2000-12-30 2002-07-12 박종섭 반도체 소자의 박막 형성 방법
KR20050002525A (ko) * 2003-06-30 2005-01-07 주식회사 하이닉스반도체 반도체 소자의 확산방지막 제조방법
US20050287811A1 (en) * 2004-06-28 2005-12-29 Semiconductor Leading Edge Technologies, Inc. Semiconductor device fabrication method
KR20110093251A (ko) * 2010-02-12 2011-08-18 국제엘렉트릭코리아 주식회사 기판 처리 장치 및 방법

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3811567A1 (de) * 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
US5122431A (en) * 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
JPH05326452A (ja) * 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
FR2713666B1 (fr) 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat métallique.
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3164019B2 (ja) * 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
JP3411559B2 (ja) 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー シリコーン膜の熱分解化学蒸着法
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6881683B2 (en) 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
CN1305119C (zh) * 2001-08-30 2007-03-14 东京毅力科创株式会社 成膜方法和成膜装置
US20030154141A1 (en) 2001-09-18 2003-08-14 Pro Corp Holdings International Ltd. Image recognition inventory management system
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6935553B2 (en) 2002-04-16 2005-08-30 Senju Metal Industry Co., Ltd. Reflow soldering method
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
WO2007001337A2 (en) 2004-08-18 2007-01-04 Dow Corning Corporation Coated substrates and methods for their preparation
GB0423685D0 (en) 2004-10-26 2004-11-24 Dow Corning Ireland Ltd Improved method for coating a substrate
US7662355B2 (en) 2004-11-29 2010-02-16 National University Corporation Tokyo University Of Agriculture And Technology Silicon nanosized linear body and a method for producing a silicon nanosized linear body
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US8021992B2 (en) 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
CN101427361A (zh) 2006-02-28 2009-05-06 St微电子(克偌林斯2)股份有限公司 电介质材料中的金属互连
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
JP5140290B2 (ja) 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
US20090264277A1 (en) 2007-04-17 2009-10-22 Dr. Rishi Raj Picoscale catalysts for hydrogen catalysis
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
CN101971298A (zh) * 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US20090258487A1 (en) * 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
US8592253B2 (en) 2008-05-07 2013-11-26 The Trustees Of Princeton University Hybrid layers for use in coatings on electronic devices or other articles
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
KR20120053003A (ko) * 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
CN102892922A (zh) * 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
JP2013074093A (ja) 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US20130298942A1 (en) * 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020058594A (ko) * 2000-12-30 2002-07-12 박종섭 반도체 소자의 박막 형성 방법
KR20050002525A (ko) * 2003-06-30 2005-01-07 주식회사 하이닉스반도체 반도체 소자의 확산방지막 제조방법
US20050287811A1 (en) * 2004-06-28 2005-12-29 Semiconductor Leading Edge Technologies, Inc. Semiconductor device fabrication method
KR20110093251A (ko) * 2010-02-12 2011-08-18 국제엘렉트릭코리아 주식회사 기판 처리 장치 및 방법

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Also Published As

Publication number Publication date
TWI638062B (zh) 2018-10-11
TW201835371A (zh) 2018-10-01
CN107400877A (zh) 2017-11-28
KR102384170B1 (ko) 2022-04-06
CN104561934A (zh) 2015-04-29
US9371579B2 (en) 2016-06-21
CN104561934B (zh) 2017-06-06
CN107400877B (zh) 2020-06-30
TW201529882A (zh) 2015-08-01
TWI703234B (zh) 2020-09-01
KR20230023703A (ko) 2023-02-17
KR20220024335A (ko) 2022-03-03
US20150118394A1 (en) 2015-04-30
US20160276140A1 (en) 2016-09-22
KR102499408B1 (ko) 2023-02-10

Similar Documents

Publication Publication Date Title
KR102499408B1 (ko) 실리콘-카본-함유 막들의 화학적 기상 증착을 위한 그라운드 상태 수소 라디칼 소스들
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
KR102491769B1 (ko) 3d nand 제조시 계단형 봉지
KR102317858B1 (ko) 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR101913443B1 (ko) 컨포멀 막들의 플라즈마 활성화된 성막
KR100980900B1 (ko) 저온 원자층 증착 SiO₂
KR101538581B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20150102738A (ko) 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들
CN112469846B (zh) 使用异质前体相互作用的硅碳化物膜的保形沉积
KR20210024674A (ko) 실리콘-함유 전구체 및 탄소-함유 전구체를 사용한 탄화 실리콘 막들의 리모트 플라즈마 기반 증착

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant