KR20150040999A - 공통 기판 상의 파워 소자 집적 - Google Patents

공통 기판 상의 파워 소자 집적 Download PDF

Info

Publication number
KR20150040999A
KR20150040999A KR1020157005427A KR20157005427A KR20150040999A KR 20150040999 A KR20150040999 A KR 20150040999A KR 1020157005427 A KR1020157005427 A KR 1020157005427A KR 20157005427 A KR20157005427 A KR 20157005427A KR 20150040999 A KR20150040999 A KR 20150040999A
Authority
KR
South Korea
Prior art keywords
region
gate
source
drain
active
Prior art date
Application number
KR1020157005427A
Other languages
English (en)
Other versions
KR101585537B1 (ko
Inventor
야체크 코렉
보이 양
Original Assignee
실라나 세미컨덕터 유.에스.에이., 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/887,704 external-priority patent/US8994105B2/en
Priority claimed from US13/939,490 external-priority patent/US8928116B2/en
Priority claimed from US13/939,451 external-priority patent/US9412881B2/en
Priority claimed from US13/939,422 external-priority patent/US8674440B2/en
Application filed by 실라나 세미컨덕터 유.에스.에이., 인코포레이티드 filed Critical 실라나 세미컨덕터 유.에스.에이., 인코포레이티드
Publication of KR20150040999A publication Critical patent/KR20150040999A/ko
Application granted granted Critical
Publication of KR101585537B1 publication Critical patent/KR101585537B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0626Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a localised breakdown region, e.g. built-in avalanching region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1004Base region of bipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42304Base electrodes for bipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66696Lateral DMOS transistors, i.e. LDMOS transistors with a step of recessing the source electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66704Lateral DMOS transistors, i.e. LDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/7302Bipolar junction transistors structurally associated with other devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/7317Bipolar thin film transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/735Lateral transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7817Lateral DMOS transistors, i.e. LDMOS transistors structurally associated with at least one other device
    • H01L29/7821Lateral DMOS transistors, i.e. LDMOS transistors structurally associated with at least one other device the other device being a breakdown diode, e.g. Zener diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7824Lateral DMOS transistors, i.e. LDMOS transistors with a substrate comprising an insulating layer, e.g. SOI-LDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7825Lateral DMOS transistors, i.e. LDMOS transistors with trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/8605Resistors with PN junctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1087Substrate region of field-effect devices of field-effect transistors with insulated gate characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12032Schottky diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13062Junction field-effect transistor [JFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

공통 기판 상의 파워 디바이스의 집적을 촉진하기 위한 반도체 구조물은 상기 기판 상에 형성된 제 1 절연층과, 상기 제 1 절연층의 적어도 일부의 상부에 형성된 제 1 도전 타입을 가지는 액티브 영역을 포함한다. 제 1 터미널이 상기 구조의 상부 표면에 형성되어, 상기 액티브 영역 내에 형성된 상기 제 1 도전 타입을 가지는 적어도 하나의 다른 영역에 전기적으로 연결된다. 제 2 도전 타입을 가지는 매립 웰이 상기 액티브 영역 내에 형성되고, 상기 구조물의 상부 표면에 형성된 제 2 터미널에 연결된다. 상기 매립 웰과 상기 액티브 영역은, 매립 웰과 제 1 터미널 사이에 전자사태 항복 영역을 위치시키는 클램핑 다이오드를 형성한다. 이 파워 소자 중 적어도 하나의 항복 전압은 매립 웰의 속성 함수이다.

Description

공통 기판 상의 파워 소자 집적{POWER DEVICE INTEGRATION ON A COMMON SUBSTRATE}
이 특허 출원은, 발명의 명칭을 "이동성 전자 장치를 위한 파워 관리 집적 회로"로 하여 2012년 7월 31일에 출원된 미국 특허출원 제61/677,660호에 대한 우선권을 주장하는 발명의 명칭을 "공통 기판 상의 파워 소자 집적"으로 하여 2013년 5월 6일에 출원된 미국 특허출원 제13/886,704호의 일부계속출원이자 그 우선권을 주장하며, 각각 발명의 명칭을 "공통 기판 상의 파워 소자 집적"으로 하여 2013년 7월 11일에 출원된 미국 특허출원 제13/939,451호, 미국 특허출원 제13/939,422호 및 미국 특허출원 제13/939,490호의 우선권을 주장하는데, 이들 각각의 개시 내용이 전적으로 모든 목적을 위하여 본 명세서에 참조로 통합되어 있다.
본 발명은 전체적으로 전자 회로에 관한 것으로, 더욱 상세하게는 파워 소자 집적에 관한 것이다.
스마트폰, 랩톱 및 태블릿 컴퓨팅 장치, 넷북(netbooks) 등을 포함하지만 이에 한정되지 않는 현대의 휴대형(portable) 전자 장치는 배터리로 작동하며, 예를 들어, 마이크로프로세서, 그래픽 디스플레이, 메모리 칩 등과 같은 이들 디바이스 내의 서브시스템에 인가되는 공급 전력을 안정화시키기 위하여 파워 공급 컴포넌트(power supply components)를 일반적으로 필요로 한다. 요구되는 전력의 범위는 종종 약 1 와트(W)에서 약 50 W의 범위이다.
파워 공급/관리 컴포넌트(power supply/management component)는 통상적으로 기능적 블록, 즉, 제어 회로(control circuitry), 드라이버 스테이지(driver stage) 및 파워 스위치(power switch)로 분할(partitioned)되어 있다. 많은 휴대용 전자 장치에서 요구되는 목적인 장치의 소형화라는 관점에서 보면, 파워 공급/관리 컴포넌트를 단일 집적회로(IC) 칩 내부에 집적하는 것이 유리하다. 이러한 해결책은 매우 낮은 전력 소비 제품에서 특히 지배적인데, 이러한 제품에서 공급 전류(supply current)는 수백 밀리암페어(mA)로 제한된다. 도 1은 단일 IC(100) 내에 모든 컴포넌트가 단일하게(monolithically) 집적되어 있는, 파워 관리 제어 회로(102), 드라이버 스테이지(104) 및 파워 스위치(106, 108)를 포함하는 예시적인 파워 스테이지를 도시한 블록도이다.
이 파워 스위치를 구현하기 위하여 통상적으로 금속-산화물-반도체 전계-효과 트랜지스터(metal-oxide-semiconductor field-effect transistor, MOSFET) 소자가 사용되고 있다. MOSFET는 제조하기 위하여 상대적으로 적은 마스크 단계를 필요로 하는 반면(예컨대 약 10개의 마스크 수준 미만), MOSFET 소자에 비하여 IC 내의 제어 회로는 일반적으로 상대적으로 많은 수의 마스크 단계(예를 들어, 약 26 내지 36개의 마스크 수준)를 필요로 한다. 그 결과, 파워 스위치에 대한 대면적 다이 영역(large die area)의 할당으로 인하여 높은 생산비용이 야기되는데, 이는 바람직하지 않다.
본 발명의 실시양태는 파워 제어 소자(power control device)를 구현하기 위하여 상응하는 제어 회로로서, 동일한 실리콘 기판 상에 회로 및/또는 컴포넌트(예를 들어, 드라이버 및 파워 스위치)의 집적을 촉진시키기 위한 새로운 반도체 구조물과 기법(technique)을 제공한다. 이를 달성하기 위하여, 본 발명의 실시양태는 유전체 측면 절연(dielectric lateral isolation)을 구비하고 있는 실리콘-온-절연체(silicon-on-insulator, SOI) 기판 상에 구현되는 BiCMOS IC 제조 기술의 특징을 이용한다.
본 발명의 일 실시양태에 따르면, 공통 기판 상에 파워 소자(power device)의 집적(integration)을 촉진하기 위한 반도체 구조물은 상기 기판 상에 형성된 제 1 절연층과, 상기 제 1 절연층의 적어도 일부의 상부에 형성된 제 1 도전 타입을 가지는 액티브 영역을 포함한다. 제 1 터미널이 상기 반도체 구조물의 상부 표면에 형성되어 상기 액티브 영역 내에 형성된 상기 제 1 도전 타입을 가지는 적어도 하나의 다른 영역을 전기적으로 연결시킨다. 이 반도체 구조물은 상기 액티브 영역 내에 형성된 제 2 도전 타입을 가지는 매립 웰(buried well)을 더욱 포함하는데, 상기 매립 웰은 상기 반도체 구조물의 상기 상부 표면에 형성된 제 2 터미널에 연결된다. 이 매립 웰은, 상기 액티브 영역과 함께(in conjunction with), 클램핑 다이오드(clamping diode)를 형성하도록 구성되는데, 상기 파워 소자 중 적어도 하나의 항복 전압(breakdown voltage)은 상기 매립 웰의 하나 이상의 속성(characteristics)의 함수(function)이다. 상기 클램핑 다이오드는 상기 반도체 구조물 내의 상기 매립 웰과 상기 제 1 터미널 사이에 전자사태 항복 영역(breakdown avalanche region)이 위치하도록 작동한다.
본 발명의 다른 실시양태에 따르면, 공통 기판 상에 파워 소자의 집적을 촉진하기 위한 반도체 구조물로서, 상기 반도체 구조물 중 적어도 하나는 바이폴라 접합형 트랜지스터(bipolar junction transistor, BJT)를 포함하는 반도체 구조물이 제공된다. 상기 반도체 구조물은 상기 기판 상에 형성된 제 1 절연층과, 상기 제 1 절연층의 적어도 일부의 상부에 형성된 제 1 도전 타입을 가지는 액티브 영역과, 상기 액티브 영역의 상부 표면에 근접하여 상기 액티브 영역 내에 형성된 상기 제 1 도전 타입을 가지는 제 1 영역을 포함한다. 상기 제 1 도전 타입을 가지는 컬렉터(collector) 영역이 상기 제 1 영역의 상부 표면에 근접하여 상기 제 1 영역의 적어도 일부 영역 내에 형성되는데, 상기 컬렉터 영역은 상기 제 1 영역에 비하여 높은 도핑 농도(doping concentration)를 갖는다. 상기 반도체 구조물의 상부 표면에 형성된 컬렉터 터미널은 상기 제 1 영역과 전기적으로 연결된다. 상기 반도체 구조물은 상기 액티브 영역 내에 형성된 제 2 도전 타입을 가지는 매립 웰을 더욱 포함한다. 상기 매립 웰은, 상기 액티브 영역과 함께, 상기 매립 웰과 상기 컬렉터 터미널 사이에 전자사태 항복 영역을 위치시키도록 작동하는 클램핑 다이오드를 형성하도록 구성되며, 상기 BJT의 항복 전압은 상기 매립 웰의 하나 이상의 속성의 함수이다. 상기 제 2 도전 타입을 가지는 베이스(base) 영역은 상기 매립 웰의 적어도 일부의 상부의 상기 액티브 영역 내에 형성되어 상기 제 1 영역으로 측 방향으로(laterally) 연장된다. 상기 제 1 도전 타입을 가지는 에미터 영역(emitter region)이 상기 베이스 영역의 상부 표면 내에 형성되는데, 상기 에미터 영역은 상기 반도체 구조물의 상부 표면에 형성된 에미터 터미널에 연결된다. 상기 베이스 영역과 상기 제 1 영역 사이의 접합부(junction)의 위쪽으로 상기 반도체 구조물의 상부 표면에 베이스 구조물이 형성되는데, 상기 베이스 구조물은 상기 매립 웰과, 상기 반도체 구조물의 상부 표면에 형성된 베이스 터미널에 전기적으로 연결된다.
본 발명의 또 다른 실시양태에 따르면, 공통 기판 상의 파워 소자의 집적을 촉진시키기 위한 반도체 구조물은 상기 기판 상에 형성된 제 1 절연층과, 상기 제 1 절연층의 적어도 일부의 상부에 형성된 제 1 도전 타입을 가지는 액티브 영역과, 상기 반도체 구조물의 상부 표면에 형성되어 있으며 상기 액티브 영역 내에 형성된 상기 제 1 도전 타입을 가지는 적어도 하나의 다른 영역을 전기적으로 연결하는 제 1 터미널과, 상기 액티브 영역 내에 형성된 제 2 도전 타입을 가지는 매립 웰을 포함한다. 상기 매립 웰은, 상기 액티브 영역과 함께, 상기 매립 웰과 상기 제 1 터미널 사이에 전자사태 항복 영역을 위치시키도록 작동하는 클램핑 다이오드를 형성하도록 구성되며, 상기 파워 소자 중 적어도 하나의 항복 전압은 상기 매립 웰의 하나 이상의 속성의 함수이다. 상기 반도체 구조물은 상기 매립 웰의 적어도 일부의 위쪽으로 상기 액티브 영역의 상부 표면에 근접하여 상기 반도체 구조물의 상부 표면에 형성된 게이트 구조물을 더욱 포함한다. 상기 게이트 구조물은 상기 액티브 영역과 전기적으로 절연되어 있으며, 상기 매립 웰에 전기적으로 연결된다.
본 발명의 또 다른 실시양태에 따르면, 공통 기판 상에 1개 이상의 파워 소자를 집적하는 방법은 상기 기판 상에 제 1 절연층을 형성하는 단계와; 상기 제 1 절연층의 적어도 일부의 상부에 제 1 도전 타입을 가지는 액티브층을 형성하는 단계와; 상기 액티브층 내의 적어도 제 1 액티브 영역과 제 2 액티브 영역 사이에 상기 액티브층을 통하여 측면 유전 절연(lateral dielectric isolation)을 형성하는 단계로서, 상기 제 1 액티브 영역과 상기 제 2 액티브 영역이 상기 측면 유전 절연에 의하여 서로 전기적으로 절연되는 단계와; 상기 액티브층과 상기 제 1 절연층 사이의 계면(interface)에 근접하여 적어도 상기 제 1 액티브 영역 내에 제 2 도전 타입을 가지는 적어도 하나의 매립 웰을 형성하는 단계와; 상기 매립 웰의 적어도 일부의 위쪽으로 상기 제 1 액티브 영역의 상부 표면에 근접하여 상기 반도체 구조물의 상부 표면에 게이트 구조물을 형성하는 단계로서, 상기 게이트 구조물은 상기 제 1 액티브 영역과 전기적으로 절연되어 있으며 상기 매립 웰에 전기적으로 연결되는 단계와; 상기 제 1 액티브 영역의 상부 표면에 근접하여 상기 제 1 액티브 영역의 적어도 일부에 상기 제 1 도전 타입을 가지는 적어도 제 1 영역을 형성하는 단계로서, 상기 제 1 영역은 상기 제 1 액티브 영역보다 높은 도핑 농도를 가지며, 상기 게이트 구조물은 상기 제 1 액티브 영역과 상기 제 1 영역 사이의 계면과 적어도 부분적으로 중첩하는 단계와; 상기 반도체 구조물의 상부 표면에 적어도 제 1 터미널과 제 2 터미널을 형성하는 단계로서, 상기 제 1 터미널은 상기 매립 웰과 전기적으로 연결되어 있으며, 상기 제 2 터미널은 상기 제 1 영역과 전기적으로 연결되어 있는 단계를 포함하고, 상기 매립 웰은, 상기 제 1 액티브 영역과 함께, 상기 매립 웰과 상기 제 2 터미널 사이에 전자사태 항복 영역을 위치시킬 수 있도록 작동하는 클램핑 다이오드를 형성하도록 구성되고, 상기 파워 소자 중 적어도 하나의 항복 전압은 상기 매립 웰의 하나 이상의 속성의 함수이다.
본 발명의 실시양태들은, 첨부한 도면과 함께 읽혀지는 후술하는 상세한 설명으로부터 분명해질 것이다.
후술하는 도면들은 단지 예시적으로 제한함이 없이 제시되었으며, (사용될 때) 유사한 참조 번호들은 다수의 도면에서 상응하는 구성요소를 가리킨다.
도 1은 단일 IC 내에 구현된 제어 회로, 드라이버 스테이지 및 파워 스위치를 포함하는 예시적인 파워 관리 회로를 보여주는 블록도이다.
도 2는 IC 내에 구현된 예시적인 파워 관리 제어 회로와 드라이버 스테이지를 포함하며, IC 외부의 별도의 파워 스위치에 연결되어 있는 파워 스테이지를 보여주는 블록도이다.
도 3은 제 1 IC 내에 구현된 예시적인 파워 관리 제어 회로와, 제 1 IC에 연결된 제 2 IC 내에 구현된 드라이버 스테이지 및 파워 스위치를 포함하는 파워 스테이지로서, 본 발명의 실시양태에 따라 사용하기에 적합한 파워 스테이지를 보여주는 블록도이다.
도 4 및 도 5는 종래의 측면 확산 금속-산화물-반도체(laterally diffused metal-oxide-semiconductor, LDMOS) 트랜지스터 소자를 도시한 단면도이다.
도 6 및 도 7은 SOI 기판 상에 형성된 종래의 LDMOS 트랜지스터 소자를 도시한 단면도이다.
도 8은 본 발명의 일 실시양태에 따라 예시적인 BiCMOS 구조물의 적어도 일부를 도시한 단면도이다.
도 9a 및 도 9b는 본 발명의 일 실시양태에 따라 예시적인 N-채널 LDMOS 트랜지스터의 적어도 일부를 도시한 단면도이다.
도 10은 본 발명의 다른 실시양태에 따라 예시적인 N-채널 LDMOS 트랜지스터의 적어도 일부를 도시한 단면도이다.
도 10a는 본 발명의 다른 실시양태에 따라 예시적인 N-채널 LDMOS 트랜지스터의 적어도 일부를 도시한 단면도이다.
도 11은 본 발명의 일 실시양태에 따라 예시적인 저전압 신호 MOSFET의 적어도 일부를 도시한 단면도이다.
도 12a 내지 도 12e는 본 발명의 실시양태에 따라 예시적인 바이폴라 접합형 트랜지스터(bipolar junction transistor, BJT)의 적어도 일부를 도시한 단면도이다.
도 13은 본 발명의 일 실시양태에 따라 예시적인 PN 다이오드의 적어도 일부를 도시한 단면도이다.
도 13a는 다른 실시양태의 예시적인 PN 다이오드의 적어도 일부를 도시한 단면도이다.
도 13b와 도 13c는 PN 다이오드의 실시양태에 따라 게이트를 애노드 터미널에 연결하기 위한 접근을 도시한 단면도이다.
도 14a는 본 발명의 일 실시양태에 따라 예시적인 쇼트키 다이오드(Schottky diode)의 적어도 일부를 도시한 단면도이다.
도 14b는 본 발명의 다른 실시양태에 따라 예시적인 쇼트키 다이오드의 적어도 일부를 도시한 단면도이다.
도 14c는 대체가능한 실시양태의 예시적인 쇼트키 다이오드의 적어도 일부를 도시한 단면도이다.
도 15는 본 발명의 제 3 실시양태에 따라 예시적인 쇼트키 다이오드의 적어도 일부를 도시한 단면도이다.
도 15a는 도 15의 게이트 트렌치를 도시한 단면도이다.
도 15b는 다른 실시양태의 예시적인 쇼트키 다이오드의 적어도 일부를 도시한 단면도이다.
도 15c는 예시적인 쇼트키 다이오드의 일 실시양태에 대하여 도전 전류(conduction current)의 변화를 보여주는 그래프이다.
도 16 및 도 17은 각각 본 발명의 일 실시양태에 따라 사형(蛇形) 레이아웃(serpentine layout) 내의 예시적인 저항 구조의 적어도 일부를 도시한 평면도 및 단면도이다.
도 18은 본 발명의 일 실시양태에 따라 예시적인 커패시터 구조의 적어도 일부를 도시한 단면도이다.
도 19는 본 발명의 일 실시양태에 따라 예시적인 P-채널 MOSFET의 적어도 일부를 도시한 단면도이다.
도 20a 내지 도 20f는 본 발명의 일 실시양태에 따라 예시적인 BiCMOS 공정 흐름을 도시한 단면도이다.
도 21a 내지 도 21e는 본 발명의 일 실시양태에 따라, 동일한 SOI 기판 상에 2개의 파워 소자를 집적하기 위하여 예시적인 BiCMOS 공정 흐름의 적어도 일부를 도시한 단면도이다.
도 22a 내지 도 22c는 다양한 차폐 구조물에 대하여 게이트 영역과 드레인 영역 사이에서의 전계 분산(electric field distribution)을 도시하고 있다.
도 23은 칩-스케일 어셈블리를 보여주는 단면도이다.
도면에서 구성요소들은 단순함과 명료화를 위해서 도시되어 있다는 점이 인식되어야 한다. 상업적으로 가능한 실시양태에서 유용하거나 필요할 수 있는, 통상적이지만 잘-이해되고 있는 구성 요소들은 도시된 실시양태의 덜 방해받는 시야(less hindered view)를 조장하기 위해서 도시되지 않을 수도 있다.
예시적인 파워 관리 회로(power management circuits)와, 이 예시적인 파워 관리 회로에서 사용하기에 적합한 1개 이상의 컴포넌트를 형성하기 위한 반도체 제조 방법이라는 맥락에서, 본 발명의 실시양태들이 본 명세서에 설명될 것이다. 하지만 본 발명의 실시양태들은 본 명세서에서 도시되고 설명되어 있는 특정한 회로 및/또는 방법으로 제한되지 않는다는 점이 이해되어야 한다. 오히려, 본 발명의 실시양태들은, 예를 들어 DC/DC 파워 컨버터와 같은 다양한 파워 관리 제품에 대한 고주파 성능(high-frequency performance)을 달성하고, 물리적 크기 및 다른 이익 중에서도 예를 들어 출력 필터(output filter)와 같은 본 발명의 실시양태들과 함께 사용될 수도 있는 외부 컴포넌트(external components)의 비용을 유리하게 절감하기 위한 방법으로 집적 회로를 제조하기 위한 기법에 보다 광범위하게 관련되어 있다. 아울러, 본 명세서에서 교시된 것을 고려하여, 도시되어 있는 이들 실시양태에 대하여 행해질 수 있는 많은 변형들은 청구된 발명의 범위 안에 있다는 점은 본 발명이 속하는 기술분야의 통상의 기술자들에게는 자명해질 것이다. 즉, 본 명세서에 도시되고 설명된 실시양태들에 대하여 어떠한 제한도 의도되지 않았으며, 제한되는 것으로 추론되어서는 안 된다.
본 발명의 양상(aspect)을 설명하고 청구하는 목적과 관련해서, 본 명세서에서 사용된 용어 MOSFET는 임의 타입의 금속-절연체-반도체 전계-효과 트랜지스터(metal-insulator-semiconductor filed-effect transistor, MISFET)를 포함하도록 광범위하게 해석되도록 의도된다. 예를 들어, 용어 MOSFET는 게이트 절연막(gate dielectric)으로서 산화물 소재를 사용하지 않는 것은 물론이고 산화물 소재를 사용하는 반도체 전계 트랜지스터를 포함하도록 의도된다. 아울러, 두문자 MOSFET 및 MISFET에서 용어 "금속"을 언급하고 있지만, 본 발명의 실시양태에 따른 MOSFET 및/또는 MISFET는 또한 예를 들어 폴리실리콘과 같은 비-금속으로부터 형성된 게이트를 가지는 반도체 전계 트랜지스터를 포함하도록 의도된다.
바이폴라 상보성 금속-산화물-반도체(bipolar complementary metal-oxide semiconductor, BiCMOS) 제조 공정을 사용하여 형성될 수 있는 것과 같이, 본 명세서에 설명된 본 발명의 구현은 p-채널(p-channel) MISFETs(이하, "PMOS" 또는 "PEET" 소자라 함) 및 n-채널(n-channel) MISFETs(이하, "NMOS" 또는 "NEET" 소자라 함)를 사용하여 구현될 수도 있지만, 본 명세서에서의 교시를 고려하여 해당 기술분야에서 통상의 지식을 가진 자에 의하여 이해되는 것과 같이, 본 발명은 이러한 트랜지스터 소자 및/또는 제조 방법으로 제한되지 않으며, 예를 들어 측면 확산 금속-산화물-반도체(LDMOS) 소자, 바이폴라 접합형 트랜지스터(BJT) 등과 같은 다른 적절한 소자 및/또는 제조 공정(예를 들어, 바이폴라, 상보성 금속-산화물-반도체(CMOS) 등)이 마찬가지로 채택될 수도 있다는 점이 인식되어야 한다. 아울러, 본 발명의 실시양태들은 실리콘 웨이퍼에서 제조되지만, 본 발명의 실시양태들은 갈륨-비소(gallium arsenide, GaAs), 질화갈륨(gallium nitride, GaN), 인화인듐(indium phosphide, InP), 셀렌화카드뮴(cadmium selenide, CdSe), 텔루르화카드뮴(cadmium telluride, CdTe), 황화아연(zinc sulfide, ZnS) 등을 포함하지만 이에 제한되지는 않는 다른 소재를 포함하는 웨이퍼 상에서 대체가능하게 제조될 수도 있다.
전술한 것과 같이, 소자의 전류가 수백 밀리암페어로 제한되는 경우(예를 들어, 소자의 전력 소비량이 약 2와트 미만), 예시적인 파워 스테이지는 도 1에 도시된 것과 같이 파워 관리 회로 구조(architecture) 내에 단일하게(monolithically) 집적될 수 있는데, 제어 회로(102), 드라이버 스테이지(104) 및 파워 스위치(106, 108)는 모두 동일한 IC 칩(100) 상에 제조된다. 하지만 소자의 전력 소비가 약 5와트 가량을 넘어 증가하는 경우(예를 들어, 약 2 암페어(A)) 초과), 파워 관리 회로의 대체가능한 분할(partitioning)이 유리하고/유리하거나 요구된다.
예를 들어, 도 2는 제 1 IC(200) 내에 구현된 파워 관리 제어 회로(102) 및 드라이버 스테이지(104)와, 이 제 1 IC와 연결되어 있으며 제 1 IC의 외부에 위치하는, 개별적으로 패키징 되어있는(packaged) 개별 IC 소자(202, 204) 내에 구현된 파워 스위치들을 포함하는 예시적인 파워 스테이지를 보여주는 블록도이다. 불행하게도, 이러한 해결책으로 제어 회로가 파워 스위치와 별개로 제조될 수 있고, 이에 따라 각각의 IC에 대한 제조 공정을 별개로 최적화할 수 있는 능력으로 이익을 얻을 수 있지만, 제 1 (제어) IC(200)와 파워 스위치 IC(202, 204) 사이의 상호접속(interconnection, 206; 예컨대 인쇄회로트레이스(printed circuit trace), 본드 와이어(bond wires), 볼 그리드 어레이(ball grid array, BGA), 등)과 연계된 기생 임피던스(parasitic impedance, 주로 기생 인덕턴스(parasitic inductance))로 인하여 고주파 제품(예컨대 약 1 메가헤르츠 초과)에서 이러한 접근법이 사용되는 것이 본질적으로 방해된다. 하지만 약 5-30 와트 범위의 파워 변환에 대해서는 이러한 접근법이 일반적으로 사용된다.
도 3은 본 발명의 일 실시양태에 따라 제 1 IC(304) 내에 구현된 파워 관리 제어 회로(302)와, 제 1 IC와 연결된 제 2 IC(312) 내에 구현된 드라이버 스테이지(306) 및 파워 스위치(308, 310)를 포함하는 예시적인 파워 스테이지(300)의 적어도 일부를 보여주는 블록도이다. 도 3에 도시된 것과 같은 파워 스테이지(300)의 분할은 예를 들어 약 30 와트보다 큰 파워 변환이 구비된 DC/DC 컨버터 및 다른 회로 및 서브시스템에 적용된다. 보다 상세하게, 이 파워 스테이지(300)는 보다 복잡한 디지털 대규모집적회로(very large scale integration, VLSI) 기술 공정으로 제조되는 제어 IC(304), 및 아날로그 기술로 제조되는 드라이버 스테이지(306)와 멀티 칩 모듈(multi-chip module, MCM) 내의 베어다이(bare dies)로서 집적된 별개의 파워 스위치(308, 310)를 포함하는 멀티 칩 모듈(MCM)로 구현되는 파워 블록(power block, 312)으로 분할된다.
예를 들어 데스크톱 컴퓨터인 대-전력 제품에 대한 파워 관리 시스템을 위하여 도 3의 MCM 접근법이 사용된다. 이 경우, 이 모듈은 3개의 분리된 다이(dies)를 포함함: 즉, 드라이버 칩과, 2개의 MOSFET 파워 스위치이다. 휴대형 전자 장치는 구현된 서브시스템의 소형화(즉, 작은 부피)와, 전력 변환 단계(stages)에서 발생된 전력 손실의 감소를 강하게 요구한다. 따라서 본 발명의 양상(aspects)은 도 3에 도시된 분할 계획(partition scheme)에 따라 2-다이(two-die) 해결책을 가능하게 하는 파워 스위치를 구비한 드라이버 스테이지의 단일 집적(monolithic integration)을 허용하는 비용-효율적인 기술 해결책을 제공한다. 즉, 배터리로 작동하는(battery operated) 휴대용 전자 장치를 위하여 필요한 평균 전력 제품에 대하여 동일한 다이 상부에 이 드라이버와 FET 파워 스위치가 제조될 수 있다. 약 5 와트보다 높은 전력 범위에 대하여 이러한 시스템 분할을 가능하게 하는 기술은 현재 없다.
일반적으로, 집적 밀도와 신호 처리의 속도를 최대화하기 위한 목적으로 예를 들어 BiCMOS 기술과 같은 디지털/아날로그 공정이 개발되었다. 현존하는 도핑 프로파일(doping profiles) 및 공정 단계를 사용하여 설계될 수 있는 선택적인 파워 스위치는 일반적으로 파워 관리 제품에서 충분한 성능을 달성할 수 없다. 저항에서의 트랜지스터(transistor on-resistance)의 감소와 스위칭 파워 손실(switching power loss)의 감소로 인하여, 도핑 구조의 전용 최적화(dedicated optimization)와 공정 단계의 맞춤 순서(tailored sequence)의 사용이 요구된다. 이는 오로지 개별 파워 스위치만의 설계에서 통상적으로 수행된다. 반면, 개별 파워 스위치의 처리만으로는 NFETs, PFETs, 바이폴라 접합형 트랜지스터, P-N 접합 다이오드 및 쇼트키 다이오드 등을 포함하는 다른 전자 컴포넌트들의 단일 집적이 가능하지 않다.
(예를 들어 DC/DC 컨버터인) 파워 관리 시스템은 통상적으로 파워 스위치를 사용하여, 입력 파워의 고주파 초핑(high-frequency chopping)을 수행하고, 다양한 로드 조건에서 출력 전압을 안정화시킬 수 있는 인덕터(inductor) 및 커패시터(capacitor)를 포함하는 출력 필터(output filter)를 사용한다. 스위칭 주파수가 높을수록, 파워 변환 성능이 더 양호해지고, 요구되는 출력 필터의 부피와 비용은 더 작게 된다. 스위칭 주파수가 오늘날 이용가능한 약 1 메가헤르츠(MHz)에서 약 5 MHz로 증가하는 것이 소망되지만, 파워 스위치를 구현하는데 사용되는 파워 트랜지스터에서의 연계된 스위칭 파워 손실로 인하여 달성되지 못하고 있는데, 파워 손실은 부분적으로는 소자의 기생 임피던스(예를 들어 내부 커패시턴스, 인덕턴스 및 저항)에 기인한다.
내부 커패시턴스와 내부 바디 다이오드(internal body diode) 내에 저장된 전하를 감소시킴으로써, 파워 MOSFETs의 스위칭 성능이 크게 개선될 수 있다는 점이 알려져 있다(예컨대 미국특허 제7,420,247호 및 제7,842,568호 참조). 도 4와 도 5는 각각 선행기술에 공지되어 있는 개별 측면 확산 금속-산화물-반도체(LDMOS) 트랜지스터(400, 500)를 도시한 단면도이다. 실리콘-온-절연체(silicon-on-insulator, SOI) 상의 파워 MOSFET의 설계는 MOSFET의 성능에서 중대한 기술적 이점을 종종 제공한다. 도 6과 도 7은 각각 종래기술에 공지되어 있는 SOI 기판 상에 형성된 LDMOS 트랜지스터(600, 700)를 도시한 단면도이다. SOI 액티브층(active SOI layer) 아래쪽의 매립 산화물(예를 들어 도 6의 602 및 도 7의 702)로 인하여, 표준 소자 구조물과 비교하여, 출력 커패시턴스(Coss)가 낮아지고 바디 다이오드의 부피를 크게 감소시킴으로써, 통신(즉, 트랜지스터를 가로지르는 바이어스를 역으로 하는) 과정에서 다이오드 저장 전하(Qrr) 및 관련된 전력 손실을 감소시킨다. 이 2가지 특징으로 인하여, 연계된 스위칭 손실이 감소하고 소자의 작동 주파수를 증가시킬 수 있다. SOI 기판을 사용하는 이러한 기술적 이점에도 불구하고, 제품의 비용이 증가하기 때문에, 제안된 이 트랜지스터는 개별 및/또는 집적 파워 MOSFETs를 제조하는데 광범위하게 응용되지 못하고 있다. 또한, 전자사태 조건(avalanche condition)에서 핫 캐리어 주입(hot carrier injection, HCI)으로 인하여, 게이트의 게이트 측면 코너에서 게이트 산화물의 장기 신뢰성이라는 문제점으로 인하여 이러한 접근법을 수용하는 것이 방해되었다.
따라서 측면(lateral) 파워 소자의 최적 스위칭 성능에 중점을 둔 아날로그 집적 공정을 개발할 필요성이 있는데, 이러한 공정에 의하여 연계된 구동 스테이지(driving stage), 및 선택적으로 일부 모니터링 기능 및 보호 기능을 구비하면서, 다른 형태의 파워 스위치를 단일하게 집적하는 게 가능해진다. 본 발명의 양상에 따라 제조된 파워 스테이지는 약 1 볼트 내지 약 10 볼트(V) 사이 범위의 입력 전압과, 약 1 암페어 내지 약 5 암페어 사이의 출력 전류에 대하여 향상된 파워 관리 해결책을 제공한다. 따라서 전송된 파워는 대략 3 와트 내지 30 와트 사이의 범위를 포괄하지만, 본 발명의 실시양태들이 이 범위 또는 임의의 특정 파워 범위로 제한되지는 않는다.
하기에서 더욱 상세하게 설명되는 것과 같이, 본 명세서에서 설명된 본 발명의 실시양태들은 측면 유전체 절연(dielectric lateral isolation)을 구비한 SOI 기판 상에서 구현되는 20-볼트 BiCMOS 기술에 근거한다. 도 3에 제시된 시스템 분할은 본 발명의 실시양태에 따라 2-다이(two-die) 해결책으로 달성된다. 개별 컴포넌트의 패키징과 연계된 부피 및 비용을 회피하기 위해서는 칩-스케일 어셈블리(즉, 칩-스케일 패키지(chip-scale package, CSP) 또는 웨이퍼-수준 패키징(wafer-level packaging, WLP))가 바람직하다. 본 발명의 실시양태에서, 고비용의 파워 스위치는 저비용의 집적 드라이브에 의해 상쇄되고(leveraged), 필터 컴포넌트의 부피 및 비용에서의 큰 절감은 작동 주파수(operating frequency)의 증가로 달성된다.
도 8은 본 발명의 일 실시양태에 따른 양상들을 통합한 예시적인 구조물(800)의 적어도 일부를 도시한 단면도이다. 이 구조물(800)은 N-타입 또는 P-타입 기판(801) 상에 BiCMOS 공정 기술을 사용하여 제조될 수 있다. 도 8을 참조하면, 상기 구조물(800)은, 액티브층(804)의 저면에 국지적으로 주입되어 있는 매립 웰(buried well, 802), 및 게이트 산화물(808) 또는 대체가능한 유전체가 피복되어 있고(lined with), 폴리실리콘 소재(810) 또는 대체가능한 도전 소재로 채워져 있는 측벽과 하부벽을 가지는 다수의 트렌치(즉, 트렌치 스트라이프, 806)의 조합을 포함한다. 트렌치(806)는 바람직하게는 평행 스트라이프(parallel stripes)의 그룹으로서 형성되는데, 이들 평행 스트라이프는 적절하게 바이어스 되었을 경우에 이들 사이에서의 전류 흐름에 영향을 주거나(예를 들어 FET 또는 쇼트키 다이오드 실시양태의 경우), 또는 이 구조물의 단위 면적당 커패시턴스를 증가시키도록 기능한다(예를 들어, 커패시터 실시양태의 경우). 본 명세서에서의 교시를 고려하여 본 기술분야에서 통상의 기술자에게 자명해지는 것과 같이, 비록 다른 실시양태들은 대체가능한 도핑 설계(doping schemes)를 이용할 수도 있지만(예를 들어 N- 영역 및 N+ 매립 웰, 또는 P- 영역 및 P+ 또는 N+ 매립 웰), 이 실시예에서, 상기 액티브층(804)은 N- 영역으로 형성되고 매립 웰(802)은 P+웰로 형성된다.
이 구조물(800)의 구조(configuration)로 인하여 예를 들어 FETs, BJT, PN 다이오드, 쇼트키 다이오드, 저항 및 커패시터와 같은 다양한 컴포넌트들이 유리하게 집적될 수 있다. 각각의 트렌치(806)는 이 구조물(800)의 상부 표면(812)으로부터, 액티브층(804)을 통하여, 적어도 일부가 매립 웰(802)의 내부로 수직하게 연장된다. 대체가능한 실시양태에서, 트렌치(806)는 매립 웰(802)을 통하여 매립 산화물층(818)의 내부로 연장될 수도 있다. 트렌치(806)의 측벽 및 하부벽을 덮은 산화물 라이닝(808)으로 인하여, 트렌치를 채우는 폴리실리콘 소재(810)와 매립 웰(802) 사이의 직접적인 전기적 연결이 방지된다. 폴리실리콘 충진(810)은 바람직하게는, 예를 들어 FET 및 쇼트키 다이오드 실시양태에서 바이어스 될 수 있는 게이트 터미널로서 사용될 수 있다.
매립 웰(802)은 트랜지스터 또는 다이오드와 같이 인가된 차단 전압(blocking voltage)을 유지하도록 작동하는 소자에서 중요한 기능을 갖는다. 더욱 상세하게, 매립 웰의 상단 우측(즉, 팁, tip)과 액티브층(804)의 N- 배경 도핑(background doping) 사이에 생성된 PN 접합에서의 항복 전압을 실질적으로 구속(pin, 즉, 속박(clamp))하는 방식으로, 매립 웰(802)의 도핑 수준, 도핑 타입 및/또는 위치가 설정된다. 이 매립 웰(802)의 하나 이상의 속성(characteristics)을 선택적으로 제어함으로써, 소자 내의 전계 분산이 제어된다.
게이트 산화물(808)로 피복되어 있는 벽(즉, 측벽과 하부벽)을 가지는 트렌치 스트라이프(806)는 그 내부에 형성된 파워 소자의 메인 터미널(main terminals) 사이에 위치한다. 본 명세서에서 사용된 용어 "메인 터미널"은 예를 들어 MOS 소자인 경우에 소스 터미널과 드레인 터미널, 또는 다이오드인 경우에 애노드 터미널과 캐소드 터미널과 같이 소자로의 외부 연결(external connection)을 넓게 지칭하는 것으로 의도된다. 트렌치 게이트 스트라이프(806)는 도 8에 도시되어 있는 예시적인 실시양태에서 전류 경로에 실질적으로 평행하게 형성(예를 들어 식각)된다. 그 결과, 도전 전류가 게이트 트렌치(806) 사이의 N- 액티브층(804) 내에 흐르게 되고, 예를 들어 측면 쇼트키 다이오드인 경우에 인가된 게이트 포텐셜(gate potential)에 의하여 제어(예를 들어 변조)될 수 있다. 본 발명의 하나 이상의 실시양태에 따라 형성된 FET 구조물의 경우, 트렌치 게이트(806)는 게이트/바디 계면을 공핍(deplete) 또는 증가(enhance)하도록 작동하여, 해당 소자 내에 형성된 반전 채널(inversion channel)을 통과하는 전류 흐름을 제어한다.
게이트 영역을 게이트 터미널에 3차원적으로 연결시키는 게이트 버스(gate bus)를 생성하기 위하여(명시적으로 도시되지 않음), 이 트렌치를 채우는 도핑된 폴리실리콘 소재(810)가 사용된다. 본 발명의 일 실시양태에 따라 형성된 NFET 소자에 대하여, 상기 폴리실리콘 소재(810)는 약 1019/㎤ 이상의 도핑 농도를 가지는 인(phosphorous)으로 바람직하게는 도핑되고, PFET 소자에 대하여, 상기 폴리실리콘 소재는 약 1019/㎤ 이상의 도핑 농도를 가지는 붕소(boron)로 바람직하게는 도핑된다. 폴리실리콘 게이트층(810)의 상부 표면은, 낮은 저항값을 구비한 실리사이드(silicide) 소재층(814, 예를 들어, 티타늄실리사이드(titanium silicide, TiSi) 또는 텅스텐실리사이드(tungsten silicide, WSi))으로 선택적으로 덮여 있는 것으로 도시되어 있는데, 이 실리사이드 소재층은 공지된 실리사이드 증착 공정(예를 들어, 화학기상증착(CVD), 스퍼터 증착 등)을 사용하여 폴리실리콘 게이트층의 상부 표면 위에 증착될 수 있다. 소자(800) 내에서 폴리사이드(polycide) 전극을 형성하는 이 실리사이드층(814)은 소자의 게이트 저항을 감소시킨다.
바람직한 실시양태에서, 바디 영역(804) 내의 전류 흐름 경로를 따라 폴리사이드 전극(814) 아래에 협소한(narrow) 게이트 트렌치(806)가 형성된다. 다른 이점 중에서도, 이런 방식에 의하여 상기 트렌치(806)는 MOSFET 구조물(800)에서 유효 게이트 폭을 증가시킨다.
집적된 컴포넌트들 사이에 측면 절연 영역(lateral isolation region)을 생성할 수 있도록, 이 트렌치(806)보다 깊게 형성된 다른 트렌치 구조물(816)이 바람직하게 형성된다. 본 명세서에서 또한 측면 절연 트렌치로 언급되는 이 깊은 트렌치(deep trench, 816)는 예를 들어 그 구조물의 상부 표면(812)에서부터, 액티브층(804)을 통하여, 기판(801) 상에 형성된 매립 산화물층(818)까지 식각함으로써 형성될 수 있다. 이 측면 절연 트렌치(816)는 산화물, 또는 산화물과 폴리실리콘의 조합으로 채워질 수 있다. 매립 산화물층(818)을 통하여 기판(801)까지 형성된, 명시적으로 도시하지 않은, 선택적인 깊은 트렌치 커트(cut, 즉, 식각, etch)가 기판 콘택트(substrate contact)로서 사용될 수 있다. 기판(801)에 대한 양호한 오믹(ohmic, 즉, 낮은 저항) 콘택트를 확보할 수 있도록, 이 선택적인 트렌치는 바람직하게는 도핑된 폴리실리콘, 또는 대체가능한 도전성 소재로 채워질 수 있다.
본 발명의 실시양태에 따라, 예시적인 BiCMOS 공정 흐름을 사용하여 다양한 전자 컴포넌트들이 생성될 수 있다. 도 9a 내지 도 19를 참조하면서, 본 발명의 양상을 통합하여 형성될 수 있는 일부 컴포넌트들의 예가 하기 본 명세서에서 설명되고 있다.
도 9a는 본 발명의 일 실시양태에 따라 예시적인 N-채널 LDMOS 트랜지스터(900)의 적어도 일부를 도시한 단면도이다. 적어도 부분적으로 게이트 차폐층(gate shield layer)의 효과로 인하여, 이 LDMOS 트랜지스터(900)는 표준 LDMOS 소자와 비교해서 감소된 게이트-드레인 커패시턴스(gate-to-drain capacitance, Cgd)를 갖는다. 아울러, 적어도 부분적으로 감소된 다이오드 저장 전하로 인하여, LDMOS 트랜지스터(900)는 바디 다이오드의 역방향 회복(reverse recovery, Qrr)의 작은 영향을 보여준다. 트랜지스터(900)는, 게이트 산화물로부터 이격된(away from) 전자사태 항복을 구속하고, P+ 매립 웰(902)의 상단 우측 코너에 근접한, 각각의 액티브 셀(active cell)의 구성 요소(integral part)로서 집적된 PN 클램핑 다이오드(즉, 깊은 웰(902)의 말단과 드레인 영역에 의해 형성된 다이오드)를 포함한다. 다시 말하면, 차단 조건 하에서, 전자사태 충돌 전리(avalanche impact ionization)는, 액티브층의 크기 내부이면서 상부 및 하부 산화물의 계면으로부터 이격되는, 매립 웰(902)의 팁(tip)과 드레인 콘택트 영역의 코너 사이에 국한된다. 이로 인하여, 다른 신뢰성 문제를 야기하지 않으면서, 파워 트랜지스터의 전자사태 내구성(avalanche ruggedness)이 증가한다. 이 트랜지스터 설계로 인하여 핫 캐리어가 산화물 내부로 주입되는 것이 최소화되어, 파워 스위치의 장기 신뢰성을 향상시킨다. 도전 전류는 트렌치 게이트(906)의 벽들 사이의 소스 영역(905)으로부터 드레인 콘택트(910) 내부를 향하는 낮은 도핑 드레인(lightly doped drain, LDD) 연장 영역(908) 내부를 향해 흐른다. 유사하게 형성된 트렌치 게이트의 대체가능한 도면(상기 트렌치를 관통하는 단면도)이 도 9b에 도시된 구조물(906)로 도시되어 있다. 도 9b는 P-타입 바디 영역(920)을 관통하며, 이 바디 영역의 하부에 형성된 P+ 매립 웰(902)의 내부를 향해 실질적으로 수직하게 형성된 트렌치 게이트(906)를 도시하고 있다. 이 트렌치 게이트(906)는 게이트 산화물(922)로 피복되어 있는 벽(즉, 측벽과 하부벽)을 갖는다. 또한 도 9b에는 측면 절연 구조물(924)이 도시되어 있는데, 이 측면 절연 구조물은 도 8에 도시되어 있는 측면 절연 구조물(816)과 같은 방식으로 형성될 수 있어서 집적된 컴포넌트들 사이에 절연을 제공한다. 처리 과정에서, SOI 내의 P- 핸들 웨이퍼(handle wafer)가 P- 기판/매립 산화물의 계면을 따라 공핍되어(depleted), MOSFET의 출력 커패시턴스(Coss)를 감소시킨다.
단순 MOS 소자의 경우에, 이 MOS 소자는 본질적으로 대칭이고 이에 따라 양방향성(bidirectional)이기 때문에, 이 MOS 소자 내의 소스 지정(designation) 및 드레인 지정의 할당(assignment)은 본질적으로 임의적이다. 따라서 소스 및 드레인 영역은 각각 일반적으로 제 1 및 제 2 소스/드레인 영역으로 언급될 수 있는데, 이러한 맥락에서 "소스/드레인"은 소스 영역 또는 드레인 영역을 나타낸다. 일반적으로 양방향성이 아닌 LDMOS 소자에서, 이러한 소스 및 드레인 지정은 임의적으로 할당되지 않을 수도 있다.
도 8에 도시된 매립 웰(802)과 마찬가지로, 매립 웰(902)은 특히 인가된 차단 전압을 유지하도록 작동하는 소자(즉, 트랜지스터 및 다이오드)에서 특히 중요한 기능을 갖는다. 더욱 상세하게, 소자 내의 매립 웰의 상단 우측과 액티브층(904)의 N- 배경 도핑 사이에 형성된 PN 접합에서 항복 전압을 실질적으로 속박(clamp)하는 방식으로 매립 웰(902)의 도핑 수준, 도핑 타입 및/또는 위치가 설정된다(configured). 이 매립 웰(902)의 하나 이상의 속성을 선택적으로 제어함으로써, 소자 내의 전계 분산이 제어된다. 예를 들어, 매립 웰(902)의 상단 우측 코너와 드레인 콘택트 영역(910)의 하단 우측 코너 사이에 최대 전계가 분산될 수 있도록 이 소자는 유익하게 배치될 수 있다. 이러한 방식으로 구성되는 경우, 전자사태 충돌 전리에 의해 발생되는 핫 캐리어를 상부 실리콘/산화물의 계면으로부터 이격시키는 클램핑 PN 다이오드가 소자 내에 집적된다. 이러한 특징으로 인하여, 소자 내에서 신뢰성 문제를 일으키지 않으면서, 전자사태 에너지를 흡수하는 소자의 능력이 증가한다.
각각 도 6 및 도 7에 도시되어 있는 예시적인 SOI LDMOS 소자(600, 700)가 전자사태로 밀려들어가는 경우, 소자 내의 낮은 도핑 드레인(LDD) 영역을 덮는 게이트의 하부 코너에서 충돌 전리(impact ionization)가 발생하고, 소자 내의 게이트 산화물의 내부로 핫 캐리어가 주입되어, 본 발명의 기술분야에서 통상의 기술자에게 공지된 것과 같은 신뢰성 문제를 종종 야기할 것이다. 적어도 이러한 이유로 인하여, 종래의 SOI 상의 LDMOS 구조물은 파워 스위치로서 사용하기에 적합하지 않다. LDMOS 트랜지스터 소자 내의 원하는 위치에서 전자사태를 억제할 수 있는 능력을 제공함으로써, 본 발명의 하나 이상의 양상에 따라 형성된 이 LDMOS 구조물은 파워 스위칭 제품에서 사용하기에 매우 적합하다.
계속해서 도 9a 및 도 9b를 참조하면, LDMOS 트랜지스터(900)는 차폐 전계 플레이트(shield field plate, 912) 또는 대체가능한 차폐 구조물을 포함하는데, 이 실시양태에서 이 차폐 전계 플레이트는 소스 트렌치 콘택트 벽(source trench contact walls)을 피복하는(lining) 도전층의 측면 연장부(lateral extension)로서 형성되며, 게이트(예를 들어 폴리실리콘 구조물, 914)와 중첩되어, N 드레인 연장 영역(즉, LDD 영역, 908)을 따라 산화물 계면에 매우 근접하게 위치한다. 이 도전층은 바람직하게는 티타늄(Ti)/티타늄질화물(TiN) 스택(stack)으로 적층될 수 있지만, 예를 들어 티타늄(Ti)/텅스텐실리사이드(WSi) 필름과 같은 다른 소재로 또한 형성될 수도 있다. 이 예시적인 실시형태에서, 소스 트렌치는 LDMOS 트랜지스터(900)의 좌측에 형성되는데, 게이트 차폐층(912)으로 피복되어 있으며, 상부 금속으로 채워지는 측벽과 하부벽을 갖는다.
이 차폐부(912)는 드레인에 가장 인접한 게이트(914)의 에지(예를 들어 하부 우측 코너)로부터 이격되는 상부 산화물의 계면을 따라 전계를 분산(즉, 신장(stretching))시키는 전계 플레이트(filed plate)로 주로 기능하고, 또한 드레인의 정 바이어스(positive bias)에서 게이트-드레인 커패시턴스(Cgd; 이른바 밀러 커패시턴스(Miller capacitance)로서, 트랜지스터의 스위칭 속도를 결정함)를 감소시키고, 게이트 산화물의 신뢰성을 더욱 향상시키는데 기여하는 차폐부로서도 기능한다. 게이트(914)의 드레인 측면 코너에서 나타나는 전계 피크는 게이트 코너와 전계 플레이트의 말단 사이에서 막 분열되어, 전계 피크 값을 감소시키고, 핫 캐리어가 이 산화물의 내부로 조기에 주입되는 것을 억제한다. 금속-충진 바이어스(metal-filled vias)가 패터닝 되어 있는 상부 금속층에 도달함에 따라(명시적으로 도시되어 있지 않고 암시되어 있음), 각각 드레인 콘택트(910) 및 소스 콘택트(916)가 형성되어, 각각 이 LDMOS 소자(900)의 드레인 터미널(D)과 소스 터미널(S)을 형성한다. 드레인 콘택트(910)로 인가된 정 바이어스에서 또한 낮은 도핑 드레인 연장 영역(908)을 공핍시키면(depleting), 또한 Cgd를 감소시키는데 도움을 준다. 실리사이드층(918)은 폴리실리콘 게이트 구조물(914) 상에 형성되어 폴리사이드층(또한 규화된 폴리실리콘으로 언급됨)을 형성하는데, 3차원으로 위치하는 게이트 터미널(G)로 유도되는 게이트 버스를 생성하기 위하여(명시적으로 도시되어 있지 않고 암시됨) 이 실리사이드층이 사용된다. 이 실리사이드층(918)은 바람직하게는 공지된 증착 공정(예를 들어, CVD, 스퍼터링 등)을 이용하여 형성된다.
도 10은 본 발명의 다른 실시양태에 따라 예시적인 N-채널 LDMOS 트랜지스터(1000)의 적어도 일부를 도시한 단면도이다. 이 LDMOS 트랜지스터(1000)는 도 9a 및 도 9b에 도시되어 있는 LDMOS 소자(900)의 단순화로서 설계된다. 도 10으로부터 명백한 것과 같이, 도 9a 및 도 9b에 도시된 LDMOS 소자(900)와 비교하여, LDMOS 소자(1000)의 제조에서 하나의 단순화는 게이트 트렌치(도 9a 및 도 9b에서 906)를 제거하였다는 것을 포함한다. 이 MOSFET(1000)의 성능에 대한 주된 효과는 단위 유닛 면적당 게이트 폭을 더 작게 하였다는 것으로, 이에 따라 결과적으로 제조된 소자의 온-저항(on-resistance, RON)이 감소한다. 이는, 게이트 트렌치 말단부(endings) 상부에 게이트 폴리실리콘의 중첩과 관련된 정렬 제한(alignment restriction)이 제거되는 것과 같이, 채널 길이를 더욱 짧게 함으로써 상쇄될 수 있다. MOSFET 소자(1000)의 다른 특징 및 속성은 LDMOS 소자(900)와 본질적으로 동일하게 존재한다.
도 10a는 도 10의 LDMOS 소자(1000)의 대체가능한 실시양태를 나타낸다. 구체적으로, 이 실시양태는 20V를 초과하는 항복 전압에 대한 변형을 포함한다. 도 10a의 LDMOS 소자(1000A)에서, 게이트 터미널과 드레인 터미널 사이에 보다 두꺼운 산화물(1016)의 협소한 스트라이프가 도입된다. 이로 인하여, 게이트 차폐부(1012)은 2단(two-step) 전계 플레이트(즉, 상부로 돌출된 단차부(1014)가 추가됨)를 형성할 수 있게 되어, 전계 분산을 더욱 향상시킨다.
도 22a 내지 도 22c는 다양한 구성에서 게이트 영역과 드레인 영역 사이의 전계 분산을 도시하고 있다. 도 22a는 이 전계 플레이트의 효과가 없는 경우의 게이트 영역과 드레인 영역 사이의 전계 분산을 도시하고 있다. 게이트의 코너 부위에서의 높은 전계 피크로 인하여, 핫 캐리어들이 게이트 산화물 내부로 주입되는데, 이는 트랜지스터의 신뢰성을 감소시킨다. 도 22b는 20V 항복 전압에 대하여 설계된 트랜지스터에서 게이트 차폐층에 의해 형성된 필드 플레이트가 임계 전계(critical electric field)를 제거한 것을 도시하고 있다. 차폐 구조물 내에서 전계 플레이트의 보다 큰 향상이 도 22c에 도시되어 있는데, 도 22c에서 보다 두꺼운 산화물 스트라이프의 도입으로 인하여 이 피크 전계를 게이트 코너로부터 멀리 밀어내는 2단 전계 플레이트의 윤곽(contour)이 가능해진다. 상기에서 언급한 것과 같이, 이러한 구조물은 20V를 초과하는 항복 전압에 대하여 설계된 트랜지스터에서 바람직하게 사용된다.
도 11은 본 발명의 일 실시양태에 따라 예시적인 저전압 신호 MOSFET(1100)의 적어도 일부를 도시한 단면도이다. 이 MOSFET(1100)은 하기에서 더욱 상세하게 설명되는 것처럼, 다른 회로 컴포넌트들을 형성하는데 사용될 수 있는, P 바디 영역(1102)과 N 드레인 영역(1104)을 포함한다. 이 실시양태에서, P+ 매립 웰은 도 10에 도시되어 있는 예시적인 MOSFET(1000) 내에 있으므로, 이 매립 웰은 소스 터미널과 직접 접촉하지 않으며, 오히려 별도의 벌크(bulk, B) 터미널과 접촉하고 있다. 이러한 구성으로 인하여, 소스 터미널에 인가되는 전압 포텐셜과 다른 전압 포텐셜이 매립 웰에 인가된다. 이 MOSFET(110)은 도 10에 도시된 예시적인 소자(1000)의 더욱 단순화에 의해 형성된다. 구체적으로, 기본 셀(basic cell)의 피치(pitch)가 감소함으로써, 보다 높은 밀도의 이들 소자가 회로 내에 배치될 수 있다. 고밀도에 대한 상충으로서, MOSFET(1100)는 감소된 고-전압 성능과 감소된 전자사태 내구성을 가지게 되지만, 이들 특징들은 일반적으로 파워 스위칭 제품에 대해서 보다 중요하다.
도 9a 내지 도 11과 연계하여 상기에서 설명된 MOSFET의 특정 실시양태에서, 이 트랜지스터는 12V 내지 60V 사이의 표적 항복 전압 사양에 대하여 설계된다. 이들 MOSFET는 저전압 파워 관리 시스템 내에서 최소화된 도전성 및 스위칭 파워 손실을 갖는 파워 스위치와 같은 제품에 대하여 최적화된다. 본 명세서에서 설명된 실시양태로 인하여, 도 3의 집적 설계를 구현하면서, 1.5 MHz에서 5 MHz까지 DC/DC 컨버터의 스위칭 주파수를 증가시킬 수 있다.
이제 도 12a를 참조하면, 단면도는 본 발명의 일 실시양태에 따라 예시적인 SOI 바이폴라 접합형 트랜지스터(bipolar junction transistor, BJT, 1200)의 적어도 일부를 도시하고 있다. BJT(1200)는 도 10에 도시된 MOSFET 소자(1000)의 변형으로서 형성된다. 여기서, 도 11에 도시된 MOSFET(1100)의 이전의 바디 영역(1102)은 BJT(1200)의 베이스 영역(1201)으로서 사용된다. 소스 트렌치 콘택트는 제거되었다. 대신, 트렌치 콘택트(1202)가 베이스 영역(1201)을 가로질러 절단되어, 깊은 P+층(1204)과 폴리사이드 구조물(즉, 규화된 폴리실리콘) 사이에 연결을 형성한다. 도 12b는 본 발명의 일 실시양태에 따라, 깊은 P+층(1204)과 폴리사이드 구조물 사이에 연결을 형성하는 하나의 방법을 보여주는 예시적인 BJT(1250)를 도시하고 있다. 구체적으로, 상기 깊은 P+층(1204)과 상기 폴리사이드 구조물(1254) 사이의 연결부(1252)는 핑거 레이아웃(finger layout)을 따라 에미터 콘택트(emitter contact, 1202, 예를 들어 핑거들 사이에서)를 차단함으로써(interrupting), 작은 스폿(spots, 즉, 콘택트)으로서 형성된다. 이 실시양태에서, 상기 연결부(1252)는 (도 9에 도시된 전계 플레이트(912)와 유사한 방식으로) 티타늄(Ti)/티타늄질화물(TiN) 층의 측면 연장부로서 형성되며, 폴리사이드 구조물(1254)과 중첩된다. 이들 콘택트는 폴리사이드 스트라이프(예를 들어, MOSFET의 경우에, 스트라이프로서 패터닝 되는 게이트일 수 있으며, 베이스 버스 콘택트를 형성하기 위하여 사용되는 폴리사이드 영역)를 따라 규정된 간격을 두고 바람직하게는 위치하는데, 낮은 저항을 구비한 베이스 버스를 생성하기 위하여 이 폴리사이드층이 사용된다. 이 베이스 영역을 베이스 터미널에 접촉시키는 전류 버스로서 이 폴리사이드 소재를 사용하면 낮은 베이스 저항이 확보되어, 트랜지스터의 스위칭 성능을 향상시킨다.
도 12c는 베이스 콘택트(1256)가 액티브 영역 내에 형성된 트렌치 영역에 부분적으로 형성되어 있으며, 연결부(1252)와 전기적으로 접촉하고 있는 BJT 실시양태를 도시하고 있다.
도 12d의 부분 단면도에 나타난 일 실시양태에서, 연결부(1252A)는 폴리사이드 베이스에 직접 접촉하지 않으며 오히려 이 폴리사이드 베이스로부터 이격되어 있다. 버튼-유사(button-like) 콘택트(1258)가 제공되어, 폴리사이드 베이스의 상부 표면 및 연결층(connection layer, 1252A)의 상부 표면(및 선택적으로 측면) 모두와 접촉한다. 상기 연결층(1252A)을 통하여, 깊은 P+웰(1204)이 구비된 폴리사이드 베이스와 베이스 콘택트(1256) 사이에 접촉을 형성할 수 있도록, 하나 이상의 이들 버튼 콘택트(1258)가 이격(베이스 폴리사이드 스트라이프를 따라 3차원으로, 그리고 에미터 콘택트가 끼워진 상태)될 수 있다.
이 BJT 구성을 사용하면, MOSFET 구조물의 초기 고-전압 성능 및 전자사태 내구성이 보존된다. 깊은 P+웰의 팁과 컬렉터 영역 사이에 생성된 PN 접합이 클램핑 다이오드로 기능한다. MOSFET의 경우에서와 같이, 상기 BJT의 상기 PN 클램핑 다이오드는 전자사태 항복을 실리콘층의 부피 내부로 구속하여, 전자사태 충돌 전리에 의해 발생되는 핫 캐리어를 이 위치로 제한한다.
도 12a를 참조하면, 이 BJT는 폴리사이드 스택 아래에 MOS 채널을 포함하고 있다는 것을 관찰할 수 있는데, 이 MOS 채널은 바이폴라 트랜지스터에 평행한 MOSFET 구조를 생성한다. 만약 BJT-베이스 터미널에 인가되는 정 바이어스가 이 MOSFET의 문턱 전압보다 크다면, 이 MOS 채널을 통하여 흐르는 전자 전류에 의하여 컬렉터 전류가 증가하여, BJT의 이득(β)을 향상시킨다.
비록 도시하지는 않았으나, 본 명세서에서 설명된 다른 실시양태에서 함께 논의된 차폐 구조물이 또한 이 설계 내부에도 통합되어, BJT 소자의 항복/신뢰성 성능을 향상시킬 수도 있다.
도 12e에 도시된 것과 같이, 도 12a에 도시된 NPN BJT 구조물은 주입된(implanted) 도판트 영역의 극성을 반전시킴으로써, PNP BJT로 변환될 수 있다.
상기에서 언급한 것과 같이, 기본적인 MOSFET 구조물은 파워 다이오드를 제공하도록 조정될(adapted) 수 있다. VLSI 기술에서 설계된 종래의 파워 PN 다이오드와 달리, 본 명세서에서 설명된 파워 PN 다이오드는 전자사태 내구성을 발휘한다. 뿐만 아니라, 기본적인 MOSFET 구조물은 쇼트키 다이오드를 제공하도록 조정될 수 있는데, 이는 통상적으로 설계자의 VLSI 컴포넌트 도구상자(toolbox) 내에 있지 않다. 제공된 모든 전압을 유지할 수 있도록 PN 다이오드와 쇼트키 다이오드를 구현함으로써, 본 명세서에서 개시된 구조물은 파워 관리 IC 설계의 유연성을 증가시킨다. 허용되는 최대 차단 전압 값을 초과하여 공급 전압 레일(supply voltage rail)에서의 전압 스파이크가 이 회로를 구동하는 경우, 이들 다이오드는 독특한 전자사태 내구성을 발휘한다. 이렇게 제안된 다이오드들은 SOI-MOSFETs에 대하여 개시된 처리 흐름과 호환되며(compatible with), 그 구조의 간단한 변형(straightforward modification)이다.
도 13은 본 발명의 일 실시양태에 따라 예시적인 PN 다이오드(1300)의 적어도 일부를 도시한 단면도이다. 이 PN 다이오드(1300)는 도 10에 도시된 예시적인 MOSFET 구조물(1000)의 변형으로서 얻어진다. 여기서, N+ 소스 영역이 생략되었으며, 이 다이오드(1300)를 형성하기 위하여 사용되는 PN 접합은 이전의 P 바디(1102)와 N 드레인(1104)의 접합에 의해 생성된다. 상기 P 바디(1102)와의 전기적 연결을 위하여 조정된 트렌치 콘택트(1302)를 가지는 애노드(A) 터미널이 형성된다. 상기 다이오드의 N 영역(1104)으로 전기적 연결을 제공할 수 있도록 캐소드(C) 터미널이 조정되어 있다. 이 MOSFET 구조물의 초기 고-전압 성능과 전자사태 내구성이 보존된다.
도 13a는 본 발명의 일 실시양태에 따라 PN 다이오드(1300A)의 예시적인 실시양태의 적어도 일부를 도시한 단면도이다. 상기 PN 다이오드(1300A)는 변형된 트렌치 콘택트(1302A)를 제외하면 상기 PN 다이오드(1300)와 동일한데, 도 9a 및 도 10과 함께 상기에서 설명한 것과 같이, 변형된 트렌치 콘택트는 게이트와 적어도 부분적으로 중첩되도록 연장되어 전계 플레이트를 포함하는 차폐 구조물을 제공한다. 매립 산화물 쪽으로의 액티브층 계면을 따라 배치된 깊은 P+웰(1102)이 존재함으로써, 도 13a의 PN 다이오드(1300A)는 LDMOS 구조물의 전체 전압 차단 성능을 보존한다. 차단 조건 하에서, 전자사태 충돌 전리는 매립 웰(1102)의 팁과 캐소드 콘택트 영역 사이에 국지화되는데, 이는 액티브층의 부피 내부이며, 상부 및 하부 산화물의 계면으로부터 이격되어 있다. 이러한 다이오드의 설계로 인하여, 산화물 내부로의 핫 캐리어의 주입을 최소화하여, 파워 소자의 장기 신뢰성을 향상시킨다. 상기 깊은 P+웰(1102)의 말단과 캐소드 영역에 의하여 생성되는 상기 PN 접합은 이 다이오드의 각각의 액티브 셀의 구성 요소(integral part)로서 클램핑 다이오드로 기능한다. 이 클램핑 다이오드에 의해 정의되는 전자사태 항복은 실리콘 소자의 부피 내부로 구속되어, 파워 소자의 전자사태 내구성을 증가시킨다. 집적된 차폐 구조물로 인하여 게이트 터미널과 캐소드 터미널 사이에서 용량 결합(capacitive coupling)이 감소하고, LDD 영역과 접속하는(interface with) 상부 산화물을 따라 전계 분산을 외부로 신장시키는 전계 플레이트로 작용한다. 게이트 스택의 캐소드 측면 코너에 나타나는 전계 피크는 게이트 코너와 전계 플레이트 말단 사이에서 막 분열하여, 전계 피크 값을 감소시키고, 산화물 내부로의 핫 캐리어의 조기 주입을 억제한다.
도 13 및 도 13a의 실시양태에서, 폴리사이드 게이트 및 깊은 P+웰(1102)은 3차원으로 애노드 터미널에 연결될 수 있다. 도 13b의 부분 단면도에 도시된 것과 같이, 도전층/게이트 차폐부(1302a)를 통하여 연장되는 버튼-유사 콘택트(1304)를 통하여 폴리사이드 게이트는 애노드와 깊은 P+웰(1102)에 연결될 수 있는데, 버튼-유사 콘택트는 게이트 구조물의 폴리사이드 및 도전층/게이트 차폐부(1302A) 모두와 전기적으로 접촉하고 있다(예를 들어, 도전층(1302A)의 상부 표면에 부분적으로 형성됨으로써). 하나 이상의 이들 버튼 콘택트(1304)가 (3차원으로) 이격되어, 연속적인 콘택트를 구비한 차폐 구조물(1302A)을 차단(interrupt)하지 않으면서 폴리사이드 스트라이프를 따라 정해진 간격(예를 들어, 핑거 레이아웃)으로 이 게이트 폴리사이드와 접촉할 수 있다. 도 13c는 게이트 폴리사이드를 애노드와 깊은 P+웰에 연결시키기 위한 대체가능한 접근법을 보여준다. 구체적으로, 애노드 트렌치 콘택트(1302B)는 (도 12b에 도시된 연장부와 같이) 게이트 폴리사이드를 애노드 및 깊은 P+웰(1102)에 연결시키도록 연장되는 측면 연장부를 갖는다. 도 13b의 실시양태와 함께 상기에서 설명된 것과 같이, 이 연장부(1302B)는 또한 전계 플레이트를 구비한 차폐 구조물을 형성하도록 연장된다. 다른 실시양태에서, 게이트 버스가 애노드로부터 분리된 터미널로서 구성될 수 있는데, 게이트 버스와 애노드는 함께 외부로 단락(shorted)될 수 있다. 이러한 접근법은 일부 실시양태에서 더욱 단순한 처리를 제공할 수도 있다.
쇼트키 다이오드가 구성(integral) 바디 다이오드를 속박하는 경우에 파워 MOSFETs에 대한 쇼트키 다이오드의 단일 집적(monolithic integration)이 관찰되었다. 이 접근법은 파워 MOSFET의 드레인-소스 바이어스가 통신하는 동안에 저장된 전하(Qrr)와 관련된 파워 손실을 회피하기 위한 것이다. 미국특허 제6,049,108호 및 제6,078,090호에서의 접근법에서, 쇼트키 다이오드는 트렌치-MOSFET 구조물 내에 집적되어 있는데, 차단 조건 하에서 드레인 전압에 의해 유도된 높은 전계로부터 쇼트키 콘택트의 계면을 차폐하기 위하여, 이 트렌치-MOSFET 구조물에서 쇼트키 콘택트 하부의 2개의 트렌치 벽을 근접시키는 것이 사용된다. 이러한 TMBS 구조물(트렌치-MOS-배리어-쇼트키, Trench-MOS-Barrier-Schottky)의 이점은, 쇼트키 콘택트의 전기적 차폐에 의하여 차단 성능을 열화(deterioration)시키지 않으면서 반도체의 보다 높은 도핑(보다 낮은 Vf)을 사용할 수 있다는 것이다. 또한, TMBS 다이오드의 누설 전류는 인접 셀 내에 존재하는 PN 접합에 의해 정의된 항복 전압까지의 평탄 전압 특성(flat voltage characteristics)을 갖게 된다.
미국특허 제7,745,846호는 LDMOS 트랜지스터 구조물 내에 전용 셀(dedicated cell)로서 집적된 쇼트키 다이오드를 개시하고 있다. 이 구조물은 웨이퍼의 배면에서 드레인 콘택트를 향하는 수직 전류 흐름을 갖는다. 상부 금속과 LDD-1 영역 사이에 형성된 쇼트키 콘택트의 전기적 차폐는 게이트 영역과 P-버퍼 영역의 차단 효과에 의해 달성된다. 이 쇼트키 다이오드의 순방향 I-V 특성은 게이트 포텐셜에 의해 영향을 받을 수 있다. 이 집적된 쇼트키 다이오드는 모체(parent) LDMOS 트랜지스터와 동일한 차단 전압 성능을 갖는다. 파워 관리 IC와 관련해서 해당 기술분야에서 이에 비교할만한 쇼트키 다이오드는 제안되지 않았다.
도 14a는 본 발명의 일 실시양태에 따라 예시적인 쇼트키 다이오드(1400)의 적어도 일부를 도시한 단면도이다. 이 쇼트키 다이오드(1400)는 도 13에 도시된 PN 다이오드(1300)의 변형으로서 형성된다. 구체적으로, P 바디 영역(1102)을 따라 형성된 애노드 트렌치 콘택트(1302)가 생략되어서(도 13 참조), (금속) 애노드 콘택트(1402)와 N- 액티브층(1404) 사이의 계면에 쇼트키 배리어가 형성될 수 있게 된다. 실시양태에서, 이 쇼트키 배리어는 2단계의 급속열처리(rapid thermal annealing, RTP; 650℃ 및 820℃)에 의해 안정화되어, 그 결과 콘택트 계면에서 실리사이드 상(silicide phase, 예를 들어 TiSi2)이 형성된다. 상부 폴리사이드층(1406)과 깊은 P+웰(1408)은 애노드(A) 터미널에 전기적으로 연결되며, 캐소드(C) 터미널의 인가된 차단 바이어스 하에서 전계 분산의 속박(pinching)을 유도한다. N- 영역 상부의 게이트 스택과 N- 영역 하부의 깊은 P+웰의 배치에 의하여 생성된 이 속박 효과는 JFET 채널의 기능과 유사하게, 차단 조건 하에서 임의의 높은 전계에 대해서도 쇼트키 콘택트의 계면을 차폐시킨다. 이러한 차폐 효과로 인하여 차단 전압의 전범위에서(본 발명이 임의의 특정 전압 또는 특정 범위의 전압에 한정되지는 않지만, 예를 들어 약 12 볼트 내지 약 20V) 다이오드(1400) 내의 누설 전류가 낮게 유지된다. 본 발명이 속하는 기술분야에서 통상의 기술자에게 알려진 것과 같이, 이 다이오드 내의 누설 전류 값은 쇼트키 콘택트에서 상기 N- 액티브 영역(1404)의 도핑 속성의 함수일 것이다(그 개시 내용이 전체적으로 본 명세서에 참조로 통합되어 있는 미국특허 제5,365,102호 참조). 도 14b와 함께 하기에서 논의되는 것과 같이, 쇼트키 콘택트의 차폐로 인하여 쇼트키 계면에서 반도체의 더욱 높은 도핑이 가능해지고, 상기 다이오드의 전기 성능이 향상된다.
깊은 P+웰(1408) 및 폴리사이드 구조물(1406)의 애노드 콘택트(1402)와의 연결은 예시적인 BJT 소자(1250)에 대하여 도 12b에 도시된 연결부(1252)와 동일한 방식으로 형성될 수 있다. 구체적으로, 깊은 P+웰(1408)과 폴리사이드 구조물(1406) 사이의 연결은 바람직하게는 핑거 레이아웃을 따라 형성된 애노드 콘택트(1402)를 차단(interrupting)함으로써 작은 스폿으로서 형성된다. 이 실시양태에서, 이 연결은 티타늄(Ti)/티타늄질화물(TiN)층의 측면 연장부(도 14a에서 명시적으로 도시되어 있지 않지만, 도 12b의 연결(1252)에 유사한 방식으로 암시되어 있음)로서 형성되며, 폴리사이드 구조물(1406)과 중첩된다. 이들 콘택트는 폴리사이드 스트라이프(예를 들어, MOSFET인 경우에 스트라이프로서 패터닝 되어 있는 게이트일 수 있는 폴리사이드 영역)를 따라 정해진 간격으로 바람직하게 배치되는데, 전술한 것과 같이, 차단 조건 하에서 임의의 높은 전계에 대하여 쇼트키 콘택트를 차폐하도록 작동하는 낮은 저항 차폐 구조물을 생성하는데 이 폴리사이드층이 사용된다.
도 14c는 대체가능한 실시양태에 따라 예시적인 쇼트키 다이오드(1400A)의 적어도 일부를 도시한 단면도를 보여준다. 이 쇼트키 다이오드(1400A)는 차폐 구조물(1414)을 포함한 것을 제외하고는 쇼트키 다이오드(1400)와 동일한데, 이 차폐 구조물은 쇼트키 다이오드(1400A)에 대한 게이트 차폐부 및 전계 플레이트로 기능한다. 깊은 P+웰(1408) 및 폴리사이드 게이트 구조물(1406)의 애노드 콘택트(1402)로의 접촉은 예시적인 PN 다이오드 소자(1300A)에 대하여 도 13b 또는 도 13c에 도시된 연결부와 동일한 방식으로 형성되거나, 또는 그 공정에 의하여 지시된 것과 같은 외부 연결이 형성될 수 있다. 실시양태에서, 도 14b에 도시된 것과 같이 이, 차폐 구조물(1414)은 또한 이 쇼트키 다이오드 구조물 내에 통합될 수 있다.
차단 전압은 상부 폴리사이드 전극의 캐소드(이전의 드레인) 측면 상에 형성된 소자 구조물에 의해 보류되고, 전자사태 항복은 깊은 P+웰(1408)의 상단 우측 코너(즉, 팁)에서 PN 접합에 의해 속박되므로, 이 MOSFET 구조물의 초기 고-전압 성능 및 전자사태 내구성이 보존된다. 상기 깊은 웰(1408)은 바람직하게는 Si/매립 산화물의 계면에 인접하여 최대 도핑 농도를 가지는 주입 웰(implanted well)이다. 하나의 바람직한 실시양태에서, 최대 도핑 농도는 약 5e16 ㎝-3 내지 5e17 ㎝-3의 범위이고, 이 도핑 분포(doping profile)는 표면을 향해 점차 감소하도록(slope down) 구성된다. 하지만 본 발명은 이 깊은 웰(1408)의 특정 도핑 농도 또는 도핑 분포에 한정되지 않는다는 점이 인식되어야 한다. 이 실시양태에서, PN 접합은 깊은 P+웰(1408), N- 액티브층(1404), N 영역(1410) 및 캐소드 터미널을 향하는 N+ 영역(1412)에 의해 형성된다.
도 14b는 본 발명의 다른 실시양태에 따라 예시적인 쇼트키 다이오드(1450)의 적어도 일부를 도시한 단면도이다. 이 쇼트키 다이오드(1450)는, N 영역(1410)과 유사한 방식으로, N- 액티브층의 상부 표면에 인접하여, 이 N- 액티브층(1404) 내에 추가적인 N 영역(1452)이 형성된 것을 제외하면, 도 14a에 도시된 쇼트키 다이오드(1400)와 본질적으로 동일하다. 도 14a에 도시된 쇼트키 다이오드와 비교하여 이 쇼트키 다이오드(1450)의 이점은, 쇼트키 콘택트에 인접한 N- 액티브층(1404)의 도핑 농도를 증가시킴으로써 도 14a에 도시된 쇼트키 다이오드(1400)의 순방향 전압 강하가 감소될 수 있다는 점이다. 바람직한 실시양태에서, 폴리실리사이드 영역의 캐소드 측면에서, 도 14b에서 N 영역(1452)으로 도시되어 있는, 애노드(A) 콘택트(1402) 하부 영역으로 이 N 주입 영역(1410)을 연장시킴으로써 달성된다.
도 10 내지 도 14c에 도시된 예시적인 구조물과 함께 본 명세서의 상기에서 설명된 것과 같이, 본 발명의 하나 이상의 실시양태에 따른 중요한 이점은, 실리콘/산화물의 계면으로부터 이격되는 방향으로 항복 전압을 속박하도록 구성되어 있는 깊은 웰을 추가하고 있다는 점이다. 유익하게는 이러한 배치로 인하여, 신뢰성 문제를 일으키지 않으면서 전자사태 에너지를 흡수할 수 있는 구조가 가능해진다는 것이다. 본 발명의 다른 실시양태에 따른 추가적인 구조물에서 이 드레인 영역의 유사한 구조를 통합하고 있어서, 예를 들어 도 9a 및 도 9b에 도시되어 있는, 모체 MOSFET 설계의 전자사태 내구성을 물려받고 있다.
도 15는 본 발명의 다른 실시양태에 따라 예시적인 쇼트키 다이오드(1500)의 적어도 일부를 도시한 단면도이다. 쇼트키 다이오드(1500)는 도 14a에 도시된 예시적인 쇼트키 다이오드의 변형으로서 형성된다. 구체적으로, 도 9a에 도시된 MOSFET(900)의 변형과 동일한 방식으로, 게이트 트렌치(1502)가 소자 내에, 바람직하게는 상기 다이오드(1500)의 액티브층의 상부 표면에 형성된 폴리사이드 전극(1504) 아래의 N- 메사 영역(mesa region, 즉, 액티브층(1404)) 내의 전류 흐름 경로를 따라 형성된다. 이 게이트 트렌치 구조물(1502)은 캐소드(C) 터미널에 인가된 차단 전압에 대하여 쇼트키 콘택트의 차폐 효과를 더욱 향상시킨다. 이 쇼트키 다이오드(1500)에서, 이 게이트 전극은 애노드(A) 터미널로부터 분리되어, 게이트 트렌치(1502) 사이에서 도전 경로를 더욱 변경시키는데 사용될 수 있다. 명시적으로 도시되어 있지 않고 암시되어 있지만, 이 애노드 터미널은 깊은 P+웰(1408)에 3차원으로 연결된다. 이 쇼트키 다이오드(1500)는 본 명세서에서 전환된 쇼트키 다이오드(switched Schottky diode)로 언급될 수 있으며, 본 발명의 일 실시양태에 따른 새로운 타입의 전력 소자를 나타낸다.
도 15a는 도 15의 전환된 쇼트키 다이오드 소자의 트렌치 구조를 더욱 상세하게 도시한 단면도이다. 도전 조건 하에서 전류는 게이트 트렌치(1502) 사이에서 애노드(소스) 콘택트로부터 캐소드(드레인) 영역으로 흐른다. 만약 트렌치의 폭이 이들 트렌치(1502) 사이의 N- 메사 영역(즉, 액티브 영역(1404))의 폭보다 작다면, 단위 액티브 셀 당 유효 게이트의 폭은 증가한다. 이 게이트 폭의 증가는 MOSFET의 트랜스컨덕턴스(transconductance)의 증가에 대응되고, 각각 트랜지스터의 온-저항(on-resistance)의 감소에 대응된다. 도 15 및 도 15a에 도시된 것과 같이, 이 게이트 트렌치는 SOI-쇼트키 다이오드 구조물 내에 구현되어, N-층(1404)의 수직 및 수평 공핍을 결합함으로써 차폐 효과를 더욱 강화한다. 상기 게이트 영역은 제 3 터미널로서 접속될 수 있어서, 이 전극에 상응하는 바이어스를 인가함으로써, 수평 공핍을 켜고 끌 수 있다. 다른 실시양태와 함께 상기에서 논의된 것과 같이, 이 애노드 터미널은 3차원으로 깊은 P+웰(1408)에 연결될 수 있다. 산화물 또는 다른 유전 소재를 포함할 수도 있는 측면 절연 영역(1514)이 다이오드 구조물(1500) 내에 형성되어, 이 다이오드를 그 다이(die) 상의 다른 컴포넌트로부터 전기적으로 절연시킨다.
도 15b는 전환된 쇼트키 다이오드(1500A)의 대체가능한 실시양태를 보여준다. 이 전환된 쇼트키 다이오드(1500A)는, N 영역(1510)과 유사한 방식으로, N- 액티브층의 상부 표면에 인접하여 상기 N- 액티브층(1404) 내에 추가적인 N 주입 영역(1552)이 형성된 것을 제외하면, 도 15에 도시된 전환된 쇼트키 다이오드(1500)와 본질적으로 동일하다. 다시 말하면, 게이트 트렌치(1502) 사이에 원래의 낮은 N- 수준에서의 액티브층의 도핑을 유지하면서, 쇼트키 콘택트에 인접하여 추가적인 액티브층이 이보다 높은 N 도판트 농도로 도핑되어 있다. 도 15에 도시된 전환된 쇼트키 다이오드(1500)와 비교하여, 이 전환된 쇼트키 다이오드(1500A)의 이점은, 쇼트키 다이오드에 인접한 N- 액티브층(1404)의 도핑 농도를 증가시킴으로써, 도 15에 도시된 쇼트키 다이오드(1500)의 순방향 전압 강하(Vf)를 감소시킬 수 있어서, 차폐 효과가 영향을 받지 않고 남아 있다는 점이다. 바람직한 실시양태에서, 폴리실리사이드 영역의 캐소드 측면에서 N 주입 영역(1510)을, 도 15b에서 N 영역(1552)으로 도시되어 있는, 애노드(A) 콘택트 아래쪽 영역으로 연장시키는 방법으로 달성된다. 미국특허 제7,745,846호에 개시되어 있는 것과 같은 공지된 소자에서, 순방향 특성은 게이트 전극으로 인가된 게이트-애노드 바이어스에 의해 변형될 수 있지만, 도 15c에서 보여주는 것과 같이, 도 15b의 다이오드 구조물은 게이트 바이어스를 켜고 끌 때 4배 크기의 도전 전류 변화를 발휘한다. 도 15a에서 나타나는 게이트 트렌치 구조물의 단면도에 기인하여, 이 전환된 쇼트키 다이오드는 π-스위치로 언급될 수 있다. 도시되지는 않았으나, 다른 실시양태와 함께 논의된 것과 같은 게이트 차폐 구조물이 이 설계 내부에 통합되어, 소자의 항복/신뢰 성능을 향상시킬 수 있다.
도 16 및 도 17은 각각 본 발명의 일 실시양태에 따라 사형(蛇形) 레이아웃(serpentine layout)에서 예시적인 저항 구조물(1600)의 적어도 일부를 도시한 평면도 및 단면도이다. 상기 저항 경로(1602)는 게이트 트렌치(1606) 사이의 N- 영역(1604)에 의해 정의되어 있는데, 이 사형 구조의 양단에서 저항 경로는 N+ 영역(1608, 1610)에 연결되어 있다. 이 N+ 콘택트 영역 중 하나(예를 들어 1608)는 깊은 P+웰(1613)로의 트렌치 콘택트(1612)를 포함한다(도 16에서는 명시적으로 도시되어 있지 않지만, 도 17에서는 웰(1702)로 도시됨). 도 17의 단면도에서 도시된 것과 같이, 이 P+웰(1613)은 하부로부터 이 N- 저항 경로(1602)를 절연시킨다. 도 17에 도시된 것과 같이, 매립된 깊은 P+웰(1702)은 트렌치 사이의 N- 영역에 의해 형성된 저항을 전기적으로 절연시키도록 작동한다. 산화물 또는 다른 유전 소재를 포함할 수 있는 측면 절연 영역(1614)이 저항 구조(1600) 내에 형성되어, 이 저항을 다이 상부의 다른 회로 컴포넌트로부터 전기적으로 절연시킨다.
이제 도 18을 참조하면, 단면도가 본 발명의 일 실시양태에 따라 예시적인 커패시터 구조물(1800)의 적어도 일부를 도시하고 있다. 이 커패시터 구조물(1800)은 도 16에 도시된 저항 구조물(1600)에 유사한 사형 레이아웃을 가질 수 있으며, 또는 트렌치(1802)에 의해 형성된 다수의 평행 스트라이프(parallel stripes)를 포함할 수도 있다. 커패시터 전극(1802)은 게이트 트렌치 내의 폴리실리콘 충진 및 액티브층(1806)의 저면에서 깊은 N+웰(1804)에 의해 형성된다. 양 영역은 사형 레이아웃의 양단에서 터미널에 연결되어 있는데, 명시적으로 도시되어 있지 않지만 암시되어 있다. 산화물 또는 다른 유전 소재를 포함할 수 있는 측면 절연 영역(1808)은 커패시터 구조물(1800) 내에 형성되어 커패시터를 다이 상부의 다른 회로 컴포넌트로부터 전기적으로 절연시킨다.
도 19는 본 발명의 일 실시양태에 따라 예시적인 P-채널 MOSFET(1900)의 적어도 일부를 도시한 단면도이다. 상기 MOSFET(1900)는 도 9에 도시된 N-채널 MOSFET(900)의 변형으로서 형성되는데, 소스 영역 및 드레인 영역은 물론이고, 바디(도 9의 P 바디)를 도피하기 위해 사용되는 극성 타입의 소재가 역방향이 되어 P-채널 LDMOS 트랜지스터를 형성하고 있다. 당업계의 통상의 기술자에 의해 알려지게 되는 것과 같이, N-채널 트랜지스터에 평행한 P-채널 MOSFET을 형성하기 위해 전용되는 주입물(implant)로 인하여, 단지 N-채널 LDMOS 트랜지스터(900)만을 제조하기 위해 사용된 공정과 비교하여, 마스크 카운트가 증가한다. N-채널 LDMOS 트랜지스터(1000)와 마찬가지로, LDMOS 소자(1900)의 제조에서 하나의 단순함은 게이트 트렌치(1902)의 제거를 포함한다. 그 결과 생성된 MOSFET의 성능에서 있어서 주요한 효과는 단위 유닛 영역 당 보다 작은 게이트 폭으로서, 이는 결과적으로 생성된 소자의 온-저항(RON)을 증가시킨다. 게이트 트렌치 말단 상부에서 게이트 폴리실리콘의 중첩과 관련한 정렬 제한(alignment restriction)이 제거되므로, 이는 채널 길이를 보다 짧게 형성함으로써 상쇄될 수 있다.
도 9 내지 19에 도시되어 있는 예시적인 전자 컴포넌트들은, 파워 스위치, 다이오드, 및 일부 연계된 회로를 포함하는 BiCMOS를 제조하는데 사용될 수 있다. 이 BiCMOS 공정 흐름은 도 9 내지 도 17에 제시되어 있는 컴포넌트들을 제조할 수 있게 하는 기본 마스크 세트(basic mask set)와, 이 컴포넌트 포트폴리오가 도 18 및 도 19에 도시된 구조물을 포함하게끔 하는 추가적인 마스크 서브세트(mask subset)를 포함한다. 본 명세서에서 사용된 구문 "기본 마스크 세트"는 본 발명의 실시양태에 따라 NFET 구조물에 기초하여 한 세트의(a set of) 소자를 제조하는데 요구되는 최소 숫자의 마스크 수준을 가리키는 것으로 폭넓게 정의된다.
이제 도 20a 내지 도 20f를 참조하면, 단면도는 집합적으로 본 발명의 일 실시양태에 따라 예시적인 BiCMOS 공정 흐름을 도시한다. 본 명세서의 상기에서 설명된 것과 같이, 이 공정 흐름은 도 9에 도시된 N-채널 LDMOS 소자의 변형에 기초하여 회로 컴포넌트를 제조하기 위한 기본 마스크 세트를 이용한다. 이 공정은 P- 핸들 웨이퍼를 구비한 SOI 기판, 및 N- 액티브층에 기초한다. 단지 예시적은 것으로 한정하지 않으면서, 본 발명의 일 실시양태에 따라 보여주는 공정 흐름은 다음의 주요 단계를 포함한다.
도 20a에 도시된 것과 같이, 제 1 마스크 단계(측면 트렌치 마스크, LTI 마스크)를 사용하여, 액티브층(2002)을 통하여 트렌치를 식각하고, 이 트렌치를 산화물 또는 산화물과 폴리실리콘의 조합으로 충진하여, 측면 트렌치 절연(lateral trench isolation, LTI)로도 또한 언급되는 측면 유전 절연(lateral dielectric isolation)을 형성함;
두꺼운 전계 산화물을 증착하고, 액티브 영역 마스크(액티브 마스크)로 이 전계 산화물을 패터닝 함;
도 20a에 도시된 것과 같이, 제 2 마스크 단계(깊은 웰 마스크, deep well mask)를 사용하여, 깊은 P+웰(매립층(buried layer, BL), 2004)과 매립 산화물(2006) 사이의 계면에 인접하여 최고 농도(concentration peak)의 붕소, 또는 대체가능한 도판트의 깊은 주입에 의하여 채택된 도판트의 함수(function)로서의 국소적 깊은 P+웰(2004), 또는 대체가능하게 N+웰을 형성함;
도 20b에 도시된 것과 같이, 제 3 마스크 단계(트렌치 게이트 마스크)를 사용하여, 이 액티브층(2002)을 통하여 매립 웰(2004) 내부로 1개 이상의 게이트 트렌치(2008)의 위치를 정의할 수 있도록 마스크를 패터닝하고; 둥근(rounded) 하부 코너 및 상부 코너가 구비된 이 게이트 트렌치를 식각하고, 이 게이트 트렌치의 측벽 및 하부벽 상부에 열 게이트 산화물(thermal gate oxide)을 성장시키고, 폴리실리콘(2010)으로 상기 트렌치를 충진(도 20b에 명시적으로 도시되어 있지 않지만 암시되어 있음). 대체가능한 실시양태에서, 상기 게이트 트렌치를 형성하기 위한 단계는 생략될 수 있어서, 도 9에 도시된 NFET 구조물을 단순화하여 도 10에 도시된 구조물을 형성함;
도 20b에 도시된 것과 같이, 인 주입(phosphorous implantation), 또는 대체가능한 도판트에 의하여 이 폴리실리콘(2010)을 도핑, 어닐링하고(anneal), 그 상부에 실리사이드층(2012)을 도핑함;
도 20b에 도시된 것과 같이, 제 4 마스크 수준(폴리실리콘 마스크)을 사용하여, 상기 폴리사이드층(2012)을 패터닝 하여 게이트 구조를 형성함;
제 5 마스크 단계(바디 마스크)를 사용하여, 상기 폴리사이드층(2012)의 에지(edge)에 자기-정렬된 바디 영역(2014)을 형성할 수 있도록 붕소 주입. 도 20c에 도시된 것과 같이, 예를 들어 전용 열 어닐링(dedicated thermal anneal)으로 바디 확산(body diffusion) 수행함;
도 20c에 도시된 것과 같이, 제 6 마스크 단계(LDD 마스크)를 사용하여, 바디 영역(2014)을 형성하기 위하여 사용된 에지에 대향하는, 폴리사이드층(2012)의 다른 에지에서 낮은 도핑 드레인(LDD) 연장부(2016)를 생성할 수 있도록 인 또는 비소, 또는 대체가능한 도판트를 주입함;
도 20d에 도시된 것과 같이, 제 7 마스크 단계(소스/드레인 마스크)를 사용하여, 얕은 비소 주입(shallow arsenic implantation)에 의하여, 바디 영역(2014)과 LDD 연장부(2016) 내에 각각 과량-도핑(highly-doped) 소스 영역(2018) 및 드레인 영역(2020)을 형성함;
도 20e에 도시된 것과 같이, 상기 드레인 연장 영역(2016)의 표면에서부터 전계 플레이트(2024)의 소정의 이격 거리를 확보할 수 있도록 이 구조물의 상부 표면 위에 필드 산화물(field oxide, 2022)을 증착함;
도 20e에 도시된 것과 같이, 제 8 마스크 단계(트렌치 콘택트 마스크)를 사용하여 얕은 소스 콘택트 트렌치(2026)를 식각하고, 바디 영역 및 깊은 P+ 영역으로 양호한 오믹 콘택트를 확보할 수 있도록 트렌치 하부를 통하여 BF2 주입(플러그 주입, plug implantation)함;
도 20e에 도시된 것과 같이, 소스 영역과 바디 영역 사이에 전기적 쇼트를 생성할 수 있도록 상기 트렌치 콘택트 벽을 피복하는 실리사이드 필름(2028, 예를 들어 Ti/WSix 또는 Ti/TiN)을 증착하고 소결함(sinter). 이 소결 공정 과정에서, Si/Ti 계면에 실리사이드(예를 들어 Ti/WSix)가 형성된다. 이러한 콘택트 형성 방법은 당업계의 통상의 기술자에게 잘 알려져 있다.
도 20e에 도시된 것과 같이, 제 9 마스크 단계(전계 플레이트(filed plate, FPL) 마스크)를 사용하여, 측면 연장부를 게이트 구조물에 중첩되도록 하여 콘택트 실리사이드층을 패터닝하고, LDD/산화물의 계면에 인접하여 전계 플레이트를 형성함;
도 20f에 도시된 것과 같이, 실질적으로 평면인 상부 표면을 달성할 수 있도록, 층간절연막(interlayer dielectric film, ILD, 2030)을 증착하고, 화학적-기계적 연마 단계(chemical-mechanical polishing, CMP)를 적용함;
제 10 마스크 단계(비아 마스크, via mask)를 사용하여, 소스 콘택트 영역, 드레인 콘택트 영역 및 게이트 콘택트 영역에 접근할 수 있도록 비아 개구(via openings)를 식각함. 도 20f에 도시된 것과 같이, 텅스텐 플러그(Ti/TiN/W), 또는 대체가능한 도전 소재로 비아(vias)를 충진하고, 다시 상부 표면을 평탄화하기 위하여 CMP 단계를 적용함;
도 20f에 도시된 것과 같이, 제 11 마스크 단계(금속 마스크)를 사용하여, 소스 구조, 드레인 구조 및 게이트 버스 구조가 구비된 상부 전극을 생성할 수 있도록 두꺼운 알루미늄층(2032)을 증착하고 패터닝 함.
상기에서 논의된 것과 같이, 이 실시양태에서 N-채널 LDMOS(NFET) 트랜지스터의 처리는 11개의 마스크 수준(즉, 단계)을 필요로 한다. 상기에서 언급한 것과 같이, 만약 게이트 트렌치 처리가 생략된다면 마스크 수준의 숫자는 10개로 감소될 수 있다. 상기 액티브층과 상기 매립 산화물을 통하여 깊은 트렌치를 식각하고, 산화물 및 도핑된 폴리실리콘으로 이 트렌치를 충진함으로써, 기판으로의 전기적 접촉을 생성할 수 있도록 추가적인 마스크가 사용될 수 있다.
동일한 처리 공정을 사용하여 P-채널 MOSFET(PFET)을 생성하기 위하여, 추가적인 마스크 서브세트가 요구된다. 본 발명의 예시적인 실시양태에 따라, 다음의 마스크 수준을 사용하여 전용의 추가적인 주입이 만들어진다. P-BL, P-POLYDOP, P-BODY, P-LDD, P-S/D, 및 P-CONT로서, P-BL은 매립층의 P-타입 도핑을 나타내고, P-POLYDOP은 PFET 소자에 대하여 폴리실리콘의 P+ 도핑을 가능하게 하는 마스크 수준을 나타낸다. 이 경우에, NFET 소자에 대한 폴리실리콘의 N+ 도핑을 위하여 추가적인 N-POLYDOP 마스크 수준이 사용된다.
따라서 본 발명의 실시양태에 따라 예시적인 BiCMOS 공정에서 전체 마스크 세트(complete mask set)는 최대 18-20 수준을 포함한다. 이 공정 흐름으로 인하여, 파워 IC를 제조하기 위하여 사용될 수 있는, 도 9 내지 도 19에 도시되어 있는 모든 예시적인 전자 컴포넌트들을 설계할 수 있다.
본 명세서에서 설명된 다이오드 파워 소자를 제조하기 위하여 요구되는 상기 기본 마스크 세트를 사용하는 공정 흐름은 BiCMOS 기술에 대하여 상기에서 논의된 것과 동일하다. 이 공정은, N-채널 MOSFET의 경우에, P- 핸들 웨이퍼를 갖는 SOI 기판, 및 N- 액티브층에 근거한다. 본 명세서에 개시되어 있는 다이오드 구조를 형성하는 경우에 이 공정 흐름은 후술하는 주요 단계들을 포함할 수 있다.
액티브층을 통하여 트렌치를 식각하고, 이 트렌치를 산화물 또는 산화물과 폴리실리콘의 조합으로 충진하여 측면 유전 절연(LTI 마스크);
매립 산화물의 계면에 인접하여 국소적 깊은 P+웰을 생성하기 위하여 최고 농도를 갖는 붕소의 깊은 주입(BL 마스크);
게이트 트렌치의 위치를 정의할 수 있도록 마스크를 패터닝 함(TRG 마스크-선택적임);
둥근 하부 코너 및 상부 코너를 갖는 상기 게이트 트렌치를 식각하고, 열 게이트 산화물을 성장시키고, 폴리실리콘으로 상기 트렌치를 충진함(게이트 트렌치를 포함하는 도 15-15b와 같은 구조에 대해서만 선택적으로 적용);
인 주입에 의해 증착된 폴리실리콘을 도핑, 어닐링, 그 상부에 실리사이드층을 증착함;
폴리사이드층을 패터닝 함(POLY 마스크);
PN 다이오드에 대하여 폴리사이드층의 에지에 자기-정렬된 바디 영역을 생성하기 위하여 붕소를 주입하고, 폴리사이드층에 자기-정렬된 개구를 이용하여 쇼트키 다이오드 내에 버튼 바디 콘택트(button body contact) 형성함. 전용 열 어닐링으로 바디 분산을 수행함;
폴리사이드층의 다른 에지에 낮은 도핑 드레인 연장부(낮은 도핑 드레인(LDD)로 호칭됨)를 생성할 수 있도록 인 또는 비소를 주입함(LDD 마스크);
얕은 비소 주입에 의하여 고준위 도핑된(highly doped) 캐소드 영역을 생성함(S/D 마스크);
게이트 스택 구조물의 전기 절연을 확보할 수 있도록 전계 산화물을 증착함;
바디 영역 및 깊은 P+웰 영역으로 양호한 오믹 콘택트를 확보할 수 있도록 얕은 소스(애노드) 콘택트 트렌치(CONT 마스크)를 식각하고, 상기 트렌치 하부를 통하여 BF2를 주입(플러그 주입)함;
애노드, 바디 영역 및 깊은 P+웰 영역 사이에 전기적 쇼트를 생성할 수 있도록 트렌치 콘택트 벽을 피복하는 실리사이드 필름(예를 들어 Ti/TiN)을 증착하고 소결함;
콘택트 실리사이드층을 패터닝 함(FPL 마스크);
평탄한 상부 표면을 달성할 수 있도록, 층간절연막(interlayer dielectric film, ILD)을 증착하고, 화학적-기계적 연마 단계(CMP)를 적용함;
애노드 콘택트 영역, 캐소드 콘택트 영역, 및 게이트 콘택트 영역에 접속할 수 있도록 비아 개구를 식각한다(비아 마스크). 텅스텐 플러그(Ti/TiN/W)로 비아를 충진하고, 상부 표면을 평탄화하기 위하여 다시 CMP 단계를 적용함;
쇼트키 콘택트 장벽(barrier)을 안정화시킬 수 있도록 2-단계 RTP 어닐링을 수행함;
애노드 버스 구조, 캐소드 버스 구조 및 게이트 버스 구조를 갖는 상부 전극을 생성할 수 있도록 두꺼운 Al층을 증착, 패터닝 함(금속 마스크);
상기에서 논의된 것과 같이, 이 기술은 마스크 수준을 거의 필요로 하지 않는다. 액티브층과 매립 산화물을 통하여 깊은 트렌치를 식각하고, 이 트렌치를 산화물과 도핑된 폴리실리콘으로 충진함으로써, 기판으로의 전기적 접촉을 생성할 수 있도록 추가적인 마스크가 사용될 수 있다.
공정의 세부적인 사항은 당업계의 통상의 기술자에게 잘 알려져 있으므로, 본 명세서에서는 더욱 상세하게 제시되지 않을 것이다. 단지 예시적인 것으로서 한정하지 않으면서, 예시적인 20-볼트의 N-채널 MOSFET를 제조하는 경우에 대하여 특정 기술적 공정 파라미터의 값이 하기에 열거된다.
- SOI 기판 : 낮은 도핑(lightly doped) 핸들 웨이퍼(예를 들어, < 5e14 ㎝-3), 0.3 ㎛ 매립 산화물, 및 약 1e16 ㎝-3의 도핑 영역을 갖는 0.6 ㎛ 액티브 필름.
- 매립 P+웰 : 2e13 ㎝-2의 양 및 180 keV의 에너지를 갖는 붕소 주입.
- 게이트 트렌치 : 폭 0.3 ㎛, 깊이 0.3 ㎛, 길이 0.3 ㎛.
- 폴리사이드층 : 0.3 ㎛ 폴리실리콘 및 0.1 ㎛ WSi2. 게이트 트렌치를 덮는 폴리사이드 스트라이프 폭 0.45 ㎛, 또는 게이트 트렌치가 없는 NFET의 경우에 0.35 ㎛.
- 바디 영역 : 3e13 ㎝-2의 양 및 30 keV의 에너지를 갖는 붕소 주입에 이어서, 4e13㎝-2의 양 및 90 keV의 에너지를 갖는 제 2 붕소 주입 및 1000 ℃에서 60분 어닐링.
- LDD 영역 : 6e12 ㎝-2의 양 및 60 keV의 에너지를 갖는 인 주입.
- S/D 영역 : 5e15㎝-2의 양 및 30 keV의 에너지를 갖는 비소 주입.
- 콘택트 트렌치 : 폭 0.4 ㎛ 및 깊이 0.25 ㎛.
- 실리사이드 필름 : 800 ℃에서 어닐링 된 Ti(300 옹스트롬)/TiN(800 옹스트롬).
- 플러그 주입 : 7e14 ㎝-2의 양 및 30 keV의 에너지를 갖는 BF2 주입.
- 상부 금속 : 0.5 ㎛ 금속-금속 이격 거리를 가지면서 패터닝 된 AlSiCu(두께 1.5 ㎛).
경우에 따라 도 12a-12d 또는 도 12e와 함께 상기에서 설명된 것과 같이, 파워 SOI BJT를 형성하기 위하여, 상기에서 논의된 것과 같이 NPN 트랜지스터를 제조하기 위하여 요구되는 상기 기본 마스크 세트가 사용될 수 있다. 이 공정은 P- 핸들 웨이퍼를 구비한 SOI 기판 및 N- 액티브층에 기초하며, 다음의 주요 단계를 포함할 수 있다.
액티브층을 통하여 트렌치를 식각하고, 이 트렌치를 산화물 또는 산화물과 폴리실리콘의 조합으로 충진하여 측면 유전 절연(LTI 마스크);
매립 산화물의 계면에 인접하여 국소적 깊은 P+웰을 생성하기 위하여 최고 농도를 갖는 붕소의 깊은 주입(BL 마스크);
인 주입에 의하여 폴리실리콘층을 증착, 도핑하고 어닐링 함. 그 상부에 실리사이드층을 증착함;
폴리사이드층을 패터닝 함(POLY 마스크);
폴리사이드층의 에지에 자기-정렬된 바디 영역을 생성하기 위하여 붕소를 주입함(BODY 마스크). 베이스/게이트 전장(whole length) 아래쪽의 주입물을 구동하기 위하여(drive) 전용 열 어닐링(예를 들어 60분 동안 1000 ℃)으로 베이스 분산을 수행함;
(LDMOS 구조물에서 LDD와 유사하게) 낮은 도핑 컬렉터 연장부를 생성하기 위하여 인 또는 비소를 주입함(LDD 마스크);
얕은 비소 주입에 의하여 고준위 도핑된 에미터 영역 및 컬렉터 영역을 생성함(S/D 마스크);
베이스 영역 및 깊은 P+웰 영역으로 양호한 오믹 콘택트를 확보할 수 있도록 얕은 버튼 콘택트 트렌치(shallow button contact trench)를 식각하고(CONT 마스크), 이 트렌치 하부를 통하여 BF2를 주입(플러그 주입)함;
트렌치 콘택트 벽을 피복하는 실리사이드 필름(예를 들어 Ti/TiN)을 증착, 소결함;
측면 연장부가 폴리사이드층의 일부와 중첩(small overlap)되도록 하여 깊은 P+웰과 폴리사이드층 사이에 전기적 접촉을 생성할 수 있도록, 콘택트 실리사이드층을 패터닝 함. MOSFET 공정과 마찬가지로, 선택적인 전계 플레이트의 연장부를 정의하기 위하여 이 동일한 마스크가 사용될 수 있음;
평탄한 상부 표면을 달성할 수 있도록, 층간절연막(interlayer dielectric film, ILD)을 증착하고, 화학적-기계적 연마 단계(CMP)를 적용함;
에미터 콘택트 영역, 컬렉터 콘택트 영역, 및 베이스 콘택트 영역에 접속할 수 있도록 비아 개구를 식각함(VIA 마스크). 텅스텐 플러그(Ti/TiN/W)로 비아를 충진하고, 상부 표면을 평탄화하기 위하여 다시 CMP 단계를 적용함;
에미터 버스 구조, 컬렉터 버스 구조 및 베이스 버스 구조를 갖는 상부 전극을 생성할 수 있도록 두꺼운 Al층을 증착, 패터닝 함(금속 마스크);
상기에서 논의된 것과 같이, NPN 트랜지스터의 공정은 10개의 마스크 수준을 필요로 한다. 액티브층과 매립 산화물을 통하여 깊은 트렌치를 식각하고, 이 트렌치를 산화물과 도핑된 폴리실리콘으로 충진함으로써, 기판으로의 전기적 접촉을 생성할 수 있도록 추가적인 마스크가 사용될 수 있다.
동일한 공정 흐름에서 PNP BJT를 생성하기 위하여, 변형된 마스크 서브세트가 사용되어야 한다. 다음의 마스크 수준을 사용하여 전용의 추가적인 주입물이 만들어진다.
P-BL, P-POLYDOP, P-BODY, P-LDD, P-S/D, 및 P-CONT.
SOI-BiCMOS에 대한 개시에서 논의된 것과 같이, 최대 18개의 마스크 수준을 갖는 2가지 타입의 BJT 트랜지스터가 SOI-BiCMOS 공정 흐름 내에 집적될 수 있다. 이 공정 흐름으로 인하여, 파워 IC를 제조하는데 사용될 수 있는 다양한 전기 컴포넌트를 설계할 수 있게 된다.
이 공정의 세부 사항은 당업계의 통상의 기술자에게 잘 알려져 있다. 주요한 기술 파라미터의 값은 일예로서 사용된 20V BiCMOS 기술의 경우와 관련해서 상기에 열거되어 있다.
실시양태에서, 이 레이아웃의 중앙부를 따라 연장하는 폴리사이드층에 의해 생성된 게이트 버스를 가지는 트랜지스터 액티브 셀의 대향되는 말단에 소스 버스와 드레인 버스가 배치되어 있다. 소스 금속 콘택트와 드레인 금속 콘택트는 끼워진(interleaved) 핑거 구조를 가지는데, 예를 들어 도 9a, 10, 10a, 11 또는 19에 도시된 것과 같이, 이들의 피치(pitch)는 1개의 액티브 셀의 피치와 동일하다. 이 버스 구조를 통하여, 수백 마이크론(예를 들어 300 ㅧ 300 ㎛)의 측면 면적(lateral dimension)을 갖는 대규모 매크로-셀의 내부로 소정 개수의 액티브 셀이 함께 연결되어 있다. 이 매크로-셀 접근법으로 인하여, 소정의 매크로-셀의 반복 및 연결에 의하여, 대-면적(예를 들어 1 내지 5 ㎟)까지 확장 가능한(scalable) 트랜지스터 레이아웃이 가능해진다. 다수의 개별 액티브 셀(예를 들어 체크판 레이아웃(checkerboard layout)) 및 이들 매크로-셀이 함께 개별 소자로서 기능하는 반복 그룹핑(repeating grouping)을 포함하는 매크로-셀을 형성하기 위한 다양한 기법들은 예를 들어 2008년 11월 4일자로 발행된 미국특허 제7,446,375호에 설명되어 있는데, 이 미국특허의 전체 내용이 본 명세서에 참조로 통합되어 있다. 하지만, 배면(backside) 전극으로의 수직 전류 흐름을 갖는 소자를 설명하는 '375' 특허와 달리, 측면 전류 흐름을 채택하고 있는 본 발명의 LDMOS 파워 소자 실시양태의 소스 및 드레인 터미널과 소스 및 드레인 버스는 모두 반도체 기판의 상부 측면에 형성될 것이다. 이 매크로-셀 접근법은, MOSFET 및 BJT 트랜지스터 및 다이오드를 포함하여, 본 명세서에 개시되어 있는 모든 소자에 적용된다는 점이 이해되어야 한다.
비록 주어진 실시양태는 반드시 이들 모든 특징을 포함하지 않거나 이들 특징만을 포함할 수도 있지만, 본 발명의 실시양태에 따라 달성되는 특징 및 이점은 후술하는 하나 이상의 특징을 포함하지만, 이에 한정되지 않는다.
- 동일한 세트의 공정 단계를 구비한 모든 집적 파워 소자의 제조와 같이, BiCMOS 공정의 독특한 양상을 이용함;
- 깊은 매립 웰의 도핑 및 배치로 인하여, 항복 전압 및 전자사태 충돌 전리의 위치가 모든 SOI 파워 소자 내에 정의됨; 즉, 클램핑 다이오드가 소자 내에 효율적으로 집적되어, 높은 전자사태 내구성을 확보함;
- SMPS 제품에서 SOI-LDMOS 파워 손실을 최소화하기 위한 목적으로 BiCMOS 공정 흐름이 정의됨. 이 SOI-LDMOS 구조를 변형함으로써, PN 다이오드, 쇼트키 다이오드, 및 BJT와 같은 다른 파워 소자가 얻어짐;
- N-채널 LDMOS 구조로부터 N+ 소스 영역을 제거함으로써 PN 다이오드가 얻어짐;
- PN 다이오드 구조로부터 P 바디 영역을 제거함으로써 쇼트키 다이오드가 얻어짐;
- 소스 영역 및 바디 영역 사이에서 전기적 쇼트를 제거함으로써 바이폴라 트랜지스터가 얻어짐. 게이트 스택이 이 바디 영역에 연결되어, 베이스 터미널로서 사용되는 전류 버스 구조를 형성함;
- 완성된 다이의 상부 표면 위에 전류 터미널을 생성하기 위하여, 칩 스케일 패키지(CSP) 또는 웨이퍼 수준 패키징(WLP)이 채택됨.
유선 패키지(wired package)의 경우에, 상기 전류 버스 스트라이프(current bus stripes)는 터미널 패드 영역(terminal pad areas)으로 유도된다. 도 23에 개략적으로 도시된 것과 같이, 보다 작은 제품 공간(product footprint)과 패키지 저항 및 인덕턴스와 같은 보다 적은 기생 컴포넌트라는 이점을 가지는 칩-스케일 어셈블리(CSP 또는 WLP)가 채택되면, 이 전류 버스 구조물(2308, 이 구조물은 예를 들어 게이트, 드레인 및 소스 상부 전극(2302), 또는 다이오드 또는 BJT 실시양태의 경우의 다른 콘택트)에 대응함)은 비아(2302) 및 재분산층(redistribution layer, 2304)을 통하여 볼 콘택트(ball contact, 2306)에 연결된다. 매크로-셀과 관련해서 이전에 논의된 내용으로 돌아가면, 각각의 소스 버스, 드레인 버스 및 게이트 버스는, 다수의 유사하거나 동일한 소자의 다수의 소스 터미널, 드레인 터미널 및 게이트 터미널에 연결될 수 있어서, 이들 다수의 소자가 단일 매크로-셀 소자로서 기능할 수 있게 된다. 이어서, 다수의 매크로-셀 소자는 함께 연결되어, 예를 들면 재분산층(2304)에 의하여 1개의 파워 소자로 기능할 수 있다. 다시 말하면, 각각의 콘택트(2306)는 예를 들어 다수의 소스 버스(2308)에 연결될 수 있으며, 이는 게이트 콘택트 및 드레인 콘택트(2306)에 대해서도 마찬가지이다.
이전에 언급한 것과 같이, 본 발명의 실시양태의 중요한 이점은, 파워 제어 소자를 구현하기 위하여 대응하는 제어 회로로서, 파워 회로 및/또는 컴포넌트들(예를 들어 드라이버 및 파워 스위치)을 동일한 실리콘 기판 상에 집적하는 것을 용이하게 촉진할 수 있다는 점이다. 단지 예시적인 것으로서 제한함이 없이, 도 21a 내지 도 21e는 본 발명의 일 실시양태에 따라, 동일 기판 상에 2개의 파워 소자를 집적하기 위한 예시적인 BiCMOS 공정 흐름의 적어도 일부를 도시한 단면도이다. 구체적으로, 도 21a 내지 도 21e는 공통 SOI 기판 상에 파워 N-채널 MOSFET과 파워 쇼트키 다이오드를 집적하기 위한 동일한 공정 단계를 이용하는 예시적인 공정 흐름을 개념적으로 나타낸다. 예를 들어 PN 다이오드 및 BJT와 같은 다른 소자들이 동일한 공정 단계 순서 내에서 제조될 수 있다.
도 21a를 참조하면, 적어도 2개의 액티브 영역(2102, 2104)이 도시되어 있다. 이 실시양태에서, 그 내부에 소자가 형성되게 될 각각의 이 액티브 영역(2102, 2104)은 측면 절연 트렌치(2108)에 의해 분리되는 각각의 N- 액티브 영역(2106)을 포함하는데, 상기 액티브 영역(2106)은 다른 실시양태에서 다른 도전 타입일 수 있다. 다른 소자 및/또는 구조물을 형성하기 위하여, 인접한 다른 액티브 영역(2106)을 분리하기 위하여 측면 절연 트렌치(2108)가 사용된다. 이전에 설명된 공정 단계를 사용하여, 상기 액티브 영역(2106)이 공통 매립 산화물층(2110) 상부에 형성되는데, 이 매립 산화물층은 N- 또는 P-타입 기판(2112) 상부에 형성된다. 매립 P+웰(2114)은 매립 산화물층(2110)과 액티브 영역 사이의 계면에 인접하여 각각의 N- 액티브 영역(2106) 내에 형성된다.
도 21b에서, SOI 구조물의 표면 상부에 게이트 산화물층(2120)이 형성된다. 상기 게이트 산화물층(2120) 상에 1층의 폴리실리콘(2122)이 증착되고 패터닝 되어 게이트 구조물을 형성한다. 상기 폴리실리콘 게이트 구조물(2122) 상에 실리사이드층(2124)이 선택적으로 증착된다. 이어서, 상기 매립 웰(2114)의 적어도 일부의 상부에서 액티브 영역(2106)을 도핑시켜서 P 바디 영역(2116)이 형성되는데, 이에 따라 상기 P 바디 영역을 형성하기 위하여 사용된 P 주입물은 폴리사이드 영역 중 하나의 에지로 자기-정렬된다. 또한 N 영역(2118)이 상기 액티브층(2106) 내에 형성된다. 액티브 영역(2102)에서, 상기 N 영역(2118)은 MOSFET 구조물(예를 들어, 도 10에 도시된 NMOS 소자(1000))을 구성하기 위하여 할당된 P 바디 영역(2116) 사이에 형성된다. 도 14b에서 상기에서 도시된 것과 같이, 쇼트키 다이오드 내에 N 영역(2118)을 형성할 수 있도록 동일한 주입 단계가 사용된다. 도 21c는 P 바디 영역(2116)과 N 영역(2118) 내에 형성된 도핑된 N+ 영역(2126)을 보여준다. SOI 구조물의 상부 표면 중 적어도 일부의 상부에 산화물층(2128)이 형성된다.
도 21d를 참조하면, 트렌치(2130)가 상기 산화물층(2128), 상기 P 바디 영역(2116), 및 접촉하는 상기 매립 P+웰(2114)을 통하여 실질적으로 수직하게 형성된다. 상기 트렌치(2130)의 측벽과 하부벽에 실리사이드 또는 티타늄/티타늄질화물층(2132)이 형성된다. 트렌치(2130)를 피복하는 상기 실리사이드층(2132)은 P 바디 영역(2116) 내의 N+ 도핑된 영역(2126)과 접촉한다. 이 실시양태에서 상기 트렌치(2130)를 피복하는 상기 실리사이드층(2132)의 측면 연장부로서 형성되어 있는 차폐 전계 플레이트(2134)는 게이트 구조물과 중첩되며, N 액티브 영역(2118)을 따라 산화물의 계면에 매우 근접하여 있다. 이어서 산화물층(2136)이 SOI 구조물의 상부 표면의 적어도 일부의 상부에 형성된다. 도 21e는 콘택트 트렌치(즉, 비아, vias)를 형성하기 위하여 식각된 산화물층(2136)을 도시하고 있는데, 이 트렌치는 금속(예를 들어, 알루미늄), 또는 대체가능한 도전 소재로 실질적으로 충진되어 있어서, 소자 콘택트(2138)를 형성한다.
본 발명의 실시양태의 적어도 일부는 집적 회로에서 구현될 수 있다. 집적 회로를 형성할 때, 반도체 웨이퍼의 표면 위에 반복된 패턴으로 동일한 다이(die)가 통상적으로 제조된다. 각각의 다이는 본 명세서에서 설명된 적어도 하나의 소자를 포함하며, 다른 구조물 및/또는 회로를 포함할 수도 있다. 이 각각의 다이는 웨이퍼로부터 커팅(cut) 또는 다이싱(diced)되어, 집적 회로로서 패키징 된다. 당업계의 통상의 기술자는 웨이퍼를 어떻게 다이싱하고 다이를 패키징하여 집적 회로를 제조하는 방법을 알고 있다. 이렇게 제조된 집적 회로는 본 발명의 일부로 간주된다.
본 발명의 실시양태에 따른 집적 회로는, 파워 관리 기법이 채택될 수 있는 임의의 응용 제품 및/또는 전자 시스템에 본질적으로 채택될 수 있다. 본 발명의 실시양태에 따른 기법을 구현하기 위한 적절한 응용 제품 및 시스템은 스마트폰, 랩톱 및 태블릿 컴퓨팅 장치, 넷북 등을 포함하는 휴대형 장치를 포함하지만, 이에 한정되지 않는다. 이러한 집적 회로를 통합하는 시스템은 본 발명의 실시양태의 일부로 간주된다. 본 명세서에서 제공된 본 발명의 실시양태의 교시를 고려하여, 당업계의 통상의 기술자라면 본 발명의 실시양태의 기법의 다른 구현 및 응용을 추고할 수 있을 것이다.
본 명세서에서 설명된 본 발명의 실시양태의 예시는 다양한 실시양태의 구조물의 전체적인 이해를 제공하기 위하여 의도된 것으로, 본 명세서에서 설명된 구조물을 이용할 수도 있는 장치 및 시스템의 모든 구성요소 및 특징들을 완전히 설명하기 위하여 의도된 것이 아니다. 본 명세서의 교시를 고려하면, 많은 다른 실시양태들은 당업계의 통상의 기술자에게 자명해질 것이다. 본 개시의 범위를 이탈하지 않으면서 구조적 논리적 치환 및 변경이 이루어질 수 있도록, 많은 다른 실시양태들이 본 발명의 실시양태로부터 이용되고 도출될 수 있다. 도면들은 또한 단지 재현적인 것으로 척도에 맞게 도시되지 않는다. 따라서 본 명세서와 도면은 제한적인 것이 아니라 예시적인 것으로 간주되어야 한다.
본 발명의 대상(subject matter)의 실시양태는 본 명세서에서 개별적으로 및/또는 집합적으로 용어 "실시양태(embodiments)"로 언급되어 있는데, 이는 단순히 편의를 위한 것으로, 사실상 1개를 초과하는 실시양태가 도시된 경우, 이 출원의 범위를 임의의 단일 실시양태 또는 발명적 개념으로 제한하기 위한 의도는 아니다. 따라서 본 명세서에서 특정 실시양태가 도시되고 설명되었으나, 동일한 목적을 달성하기 위한 배치가 도시된 특정 실시양태(들)를 대체할 수 있다는 점이 이해되어야 한다. 즉, 이 개시는 다양한 실시양태의 임의의, 및 모든 개조 또는 변형을 포괄하고자 의도된다. 본 명세서에서의 교시를 고려하여, 상술한 실시양태의 조합 및 본 명세서에서 구체적으로 설명되지 않은 다른 실시양태들은 당업계의 통상의 기술자에게는 자명해질 것이다.
요약은 37 C.F.R. § 1.72(b)를 준수하도록 제공되어 있는데, 이 규칙은 독자가 기술적 개시의 본성을 신속하게 확인할 수 있게 하는 요약을 요구한다. 청구항의 범위 또는 의미를 해석하거나 제한하기 위하여 이 요약이 사용되지 않을 것이라는 이해와 함께 요약이 제출된다. 또한, 이전의 바람직한 실시양태의 상세한 설명에서, 본 발명의 개시를 간소화(streamlining)하기 위한 목적으로 다양한 특징들은 하나의 실시양태에서 함께 묶여 있다. 이러한 개시의 방법은, 청구된 실시양태들이 각각의 청구항에 명백하게 언급되어 있는 것보다 많은 특징을 요구한다는 의도를 반영하는 것으로 해석되어서는 안 된다.
본 명세서에서 제공된 본 발명의 실시양태의 교시를 고려하여, 당업계의 통상의 기술자라면 본 발명의 실시양태의 기술의 다른 구현 및 응용을 추고할 수 있을 것이다. 비록 본 발명의 예시적인 실시양태들이 첨부한 도면을 참조하면서 본 명세서에서 설명되었으나, 본 발명의 실시양태는 이들 정확한 실시양태들로 제한되지 않으며, 본 발명의 범위를 이탈하지 않으면서 당업계의 통상의 기술자에 의하여 다양한 다른 변형과 변경이 행해질 수 있다는 점이 이해되어야 한다.

Claims (20)

  1. 적어도 하나의 금속산화물반도체 전계 효과 트랜지스터(metal-oxide-semiconductor field-effect transistor, MOSFET) 파워 소자를 포함하는 반도체 구조물로서,
    기판 상에 형성된 제 1 절연층;
    상기 제 1 절연층의 적어도 일부의 상부에 형성된 액티브 영역;
    상기 액티브 영역 내에 형성된 제 1 도전 타입을 가지는 매립 웰;
    상기 액티브 영역의 상부 표면에 근접하여 상기 액티브 영역 내에 형성된 제 2 도전 타입을 가지며, 상기 매립 웰에 전기적으로 연결되는 소스 영역;
    상기 액티브 영역의 상기 상부 표면에 근접하여 상기 액티브 영역 내에 형성된 상기 제 2 도전 타입을 가지며, 상기 소스 영역과 측 방향으로(laterally) 이격되는 드레인 영역;
    상기 매립 웰의 적어도 일부의 상부에서 상기 소스 영역 및 상기 드레인 영역 사이의 상기 액티브 영역 내에 형성된 상기 제 1 도전 타입을 가지는 바디 영역으로서, 상기 소스 영역의 적어도 일부가 상기 바디 영역 내부로 측 방향으로 연장되고, 상기 드레인 영역은 상기 바디 영역에서 연장되는 낮은 도핑 드레인(lightly doped drain) 연장 영역을 포함하는 바디 영역;
    상기 액티브 영역의 상기 상부 표면과, 상기 소스 영역 및 상기 드레인 영역 사이에 적어도 부분적으로 근접하여 상기 액티브 영역의 상부에 형성되는 게이트로서, 상기 게이트 구조물은 게이트 절연층에 의하여 상기 액티브 영역과 전기적으로 절연되어 있는 게이트;
    상기 액티브 영역의 상부 표면에 형성되며, 상기 드레인 영역에 전기적으로 연결되는 드레인 터미널;
    상기 소스 영역에 전기적으로 연결되는 소스 터미널;
    상기 게이트에 전기적으로 연결되는 게이트 터미널; 및
    상기 게이트와 상기 드레인 영역 사이의 상기 액티브 영역의 상기 상부 표면에 근접하여 형성되는 차폐 구조물(shielding structure)로서, 상기 드레인 터미널에 가장 가깝게 위치한 상기 게이트의 에지와 이격된(away from) 상부 산화물의 계면(interface)을 따라 전계 분산(filed distribution)을 제어하도록 구성되는 전계 플레이트를 포함하는 차폐 구조물을 포함하고,
    상기 매립 웰은, 상기 액티브 영역과 함께(in conjunction with), 상기 매립 웰과 상기 드레인 터미널 사이에 전자사태 항복 영역(breakdown avalanche region)을 위치시키도록 작동하는 클램핑 다이오드를 형성하도록 구성되며, 상기 MOSFET 파워 소자의 항복 전압은 상기 매립 웰의 한 가지 이상 속성(characteristics)의 함수(function)인 반도체 구조물.
  2. 제 1항에 있어서,
    상기 매립 웰은 상기 제 1 절연층과 상기 액티브 영역 사이의 계면에 근접하여 형성되는 반도체 구조물.
  3. 제 1항에 있어서,
    상기 차폐 구조물은 상기 게이트의 적어도 일부와 중첩되어 있으며, 상기 소스 터미널에 접촉하는 도전층의 연장부로서 형성되는 반도체 구조물.
  4. 제 3항에 있어서,
    상기 차폐 구조물은 그 일단에서 상기 매립 웰과 상기 제 2 터미널에 전기적으로 연결되는 반도체 구조물.
  5. 제 4항에 있어서,
    상기 액티브층에 형성된 소스 트렌치를 더욱 포함하며, 상기 소스 터미널은 적어도 일부가 상기 소스 트렌치 내에 형성되고, 상기 도전층에 의하여 상기 매립 웰, 및 상기 소스 트렌치 내의 소스 영역에 전기적으로 연결되어 있는 반도체 구조물.
  6. 제 5항에 있어서,
    상기 도전층은 상기 소스 트렌치의 벽(walls) 상부에 도전 피복(conductive lining)의 측면 연장부(lateral extension)를 포함하는 반도체 구조물.
  7. 제 1항에 있어서,
    상기 전계 플레이트는 상기 드레인 터미널에 근접하여 형성된 상기 차폐 구조물의 단차형 연장부(stepped extension)를 포함하는 반도체 구조물.
  8. 제 7항에 있어서,
    상기 전계 플레이트는 절연층 내에 형성되고, 상기 전계 플레이트는 상기 액티브층의 상기 상부 표면을 따라 상기 상부 표면과 이격되어 연장되는 제 1 부분과, 상기 액티브층의 상기 상부 표면을 따라 상기 상부 표면과 이격되어 연장되는 제 2 부분을 포함하며, 상기 제 2 부분은 상기 제 1 부분보다 상기 드레인 터미널에 근접하고, 상기 제 2 부분은 상기 제 1 부분보다 상기 액티브 영역의 상기 상부 표면으로부터 더 멀리 이격되어 있는 반도체 구조물.
  9. 제 1항에 있어서,
    상기 MOSFET 파워 소자는 상기 소스 영역과 상기 바디 영역 사이의 연결부(connection)를 더욱 포함하고, 상기 연결부는 상기 소스 영역을 통하여 상기 바디 영역 내부로 식각된 소스 콘택트 트렌치의 실리사이드층(silicide layer) 피복 벽(lining walls)으로서 형성되는 반도체 구조물.
  10. 제 1항에 있어서,
    공통 기판 상에 상기 MOSFET 파워 소자에 집적되어 있는 제어 회로를 더욱 포함하고, 상기 제어 회로는 상기 MOSFET 파워 소자의 작동을 선택적으로 제어하도록 구성되는 반도체 구조물.
  11. 제 1항에 있어서,
    상기 액티브 영역을 통하여 상기 매립 웰 내부를 향하여 실질적으로 수직하게 형성된 다수의 게이트 트렌치 구조물을 더욱 포함하고, 상기 게이트 트렌치 구조물 각각은 그 상부에 형성된 절연 소재를 가지는 측벽 및 하부벽을 포함하고, 상기 게이트 트렌치 구조물 각각은 도전 소재로 충진되어 있으며, 상기 다수의 게이트 트렌치 구조물은 상기 게이트에 연결되고, 상기 다수의 게이트 트렌치 구조물에 인가된 전압은 상기 다수의 게이트 트렌치 구조물 사이를 흐르는 도전 전류(conduction current)를 변조(modulate)하도록 작동하며, 상기 도전 전류의 진폭은 상기 인가된 전압의 함수로서 제어되는 반도체 구조물.
  12. 제 11항에 있어서,
    상기 게이트는 상기 액티브층의 상기 상부 표면 상부의 상기 트렌치를 충진하는 상기 도전 소재의 연장부로서 형성되는 반도체 구조물.
  13. 제 11항에 있어서,
    상기 도전 소재는 폴리실리콘을 포함하는 반도체 구조물.
  14. 제 1항에 있어서,
    상기 MOSFET 파워 소자는 상기 항복 전압이 12V 이상이 되도록 구성되는 반도체 구조물.
  15. 제 1항에 있어서,
    상기 적어도 하나의 MOSFET 파워 소자는 그 내부에 형성되며 매크로-셀(micro-cell) 내에 조직되어 있는(organized) 다수의 상기 적어도 하나의 MOSFET 파워 소자를 포함하고, 상기 반도체 구조물은 단일 MOSFET 파워 소자로서 작동하도록 함께 연결되어 있는 다수의 상기 매크로-셀을 포함하는 반도체 구조물.
  16. 제 1항에 있어서,
    상기 적어도 하나의 MOSFET 파워 소자는 그 내부에 형성되며 단일 MOSFET 파워 소자로서 작동할 수 있도록 버스 구조(bus structure)를 통하여 함께 연결되어 있는 다수의 유사 MOSFET(like MOSFETs)를 포함하고, 상기 버스 구조는 상기 다수의 MOSFET 파워 소자의 상기 소스 터미널에 접속된 소스 버스(source bus)와, 상기 다수의 MOSFET 파워 소자의 상기 드레인 터미널에 접속된 드레인 버스(drain bus)와, 상기 다수의 MOSFET 파워 소자의 상기 게이트 터미널에 접속된 게이트 버스(gate bus)를 포함하는 반도체 구조물.
  17. 제 16항에 있어서,
    상기 반도체 구조물은 칩-스케일 어셈블리의 일부이고, 상기 칩-스케일 어셈블리는 소스 콘택트, 드레인 콘택트 및 게이트 외부 콘택트에 상기 버스 구조를 접속하는 재분산층(redistribution layer)을 포함하는 반도체 구조물.
  18. 적어도 하나의 금속산화물반도체 전계효과 트랜지스터(metal-oxide-semiconductor field-effect transistor, MOSFET) 파워 소자를 포함하는 반도체 구조물을 형성하는 방법으로서,
    기판 상에 제 1 절연층을 형성하는 단계;
    상기 제 1 절연층의 적어도 일부의 상부에 액티브층을 형성하는 단계;
    상기 액티브층과 상기 제 1 절연층 사이의 계면(interface)에 근접하여 제 1 도전 타입을 가지는 적어도 하나의 매립 웰을 형성하는 단계;
    상기 매립 웰의 적어도 일부의 상부와 상기 제 1 액티브층의 상부 표면에 근접하여 상기 반도체 구조물의 상부 표면에 게이트 구조물을 형성하는 단계로서, 상기 게이트 구조물은 게이트 절연층에 의하여 상기 액티브층으로부터 전기적으로 절연되는 단계;
    상기 액티브 영역의 상부 표면에 근접하여 상기 액티브 영역 내에 제 2 도전 타입을 가지는 소스 영역을 형성하는 단계로서, 상기 소스 영역은 상기 매립 웰에 전기적으로 연결되는 단계;
    상기 액티브 영역의 상기 상부 표면에 근접하여 상기 액티브 영역 내에 제 2 도전 타입을 가지며, 상기 소스 영역과 측 방향으로(laterally) 이격되는 드레인 영역을 형성하는 단계;
    상기 매립 웰의 적어도 일부의 상부에서 상기 소스 영역 및 상기 드레인 영역 사이의 상기 액티브 영역 내에 상기 제 1 도전 타입을 가지는 바디 영역을 형성하는 단계로서, 상기 소스 영역의 적어도 일부가 상기 바디 영역 내부로 측 방향으로 연장되고, 상기 드레인 영역은 상기 바디 영역에서 연장되는 낮은 도핑 드레인(lightly doped drain) 연장 영역을 포함하는 단계;
    상기 매립 웰 및 상기 소스 영역에 전기적으로 연결되는 소스 터미널을 형성하는 단계;
    상기 액티브 영역의 상기 상부 표면에 상기 드레인 영역과 전기적으로 연결되는 드레인 터미널을 형성하는 단계; 및
    상기 게이트와 상기 드레인 영역 사이의 상기 액티브 영역의 상기 상부 표면에 근접하여 차폐 구조물(shielding structure)을 형성하는 단계로서, 상기 차폐 구조물은 상기 드레인 터미널에 가장 가깝게 위치한 상기 게이트의 에지와 이격된(away from) 상부 산화물의 계면(interface)을 따라 전계 분산(electric filed distribution)을 제어하도록 구성되는 전계 플레이트를 포함하는 단계를 포함하고,
    상기 매립 웰은, 상기 액티브 영역과 함께(in conjunction with), 상기 매립 웰과 상기 드레인 터미널 사이의 전자사태 항복 영역(breakdown avalanche region)을 위치시키도록 작동하는 클램핑 다이오드를 형성하도록 구성되며, 상기 MOSFET 파워 소자의 항복 전압은 상기 매립 웰의 한 가지 이상 속성(characteristics)의 함수(function)인 방법.
  19. 제 18항에 있어서,
    소스 트렌치를 식각하는 단계와, 도전층에 의하여 상기 소스 터미널, 소스 영역 및 매립 웰을 접촉하는 단계를 더욱 포함하고, 상기 도전층은 상기 게이트 상부에서 상기 트렌치로부터 연장되어 상기 차폐 구조물을 형성하는 방법.
  20. 제 19항에 있어서,
    상기 전계 플레이트는 절연층 내에 형성되고, 상기 전계 플레이트는 상기 액티브층의 상기 상부 표면을 따라 상기 상부 표면과 이격되어 연장되는 제 1 부분과, 상기 액티브층의 상기 상부 표면을 따라 상기 상부 표면과 이격되어 연장되는 제 2 부분을 포함하며, 상기 제 2 부분은 상기 제 1 부분보다 상기 드레인 터미널에 근접하고, 상기 제 2 부분은 상기 제 1 부분보다 상기 액티브 영역의 상기 상부 표면으로부터 더 멀리 이격되어 있는 방법.
KR1020157005427A 2012-07-31 2013-07-16 공통 기판 상의 파워 소자 집적 KR101585537B1 (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US201261677660P 2012-07-31 2012-07-31
US61/677,660 2012-07-31
US13/887,704 2013-05-06
US13/887,704 US8994105B2 (en) 2012-07-31 2013-05-06 Power device integration on a common substrate
US13/939,451 2013-07-11
US13/939,490 US8928116B2 (en) 2012-07-31 2013-07-11 Power device integration on a common substrate
US13/939,451 US9412881B2 (en) 2012-07-31 2013-07-11 Power device integration on a common substrate
US13/939,490 2013-07-11
US13/939,422 2013-07-11
US13/939,422 US8674440B2 (en) 2012-07-31 2013-07-11 Power device integration on a common substrate
PCT/US2013/050700 WO2014022092A1 (en) 2012-07-31 2013-07-16 Power device integration on a common substrate

Publications (2)

Publication Number Publication Date
KR20150040999A true KR20150040999A (ko) 2015-04-15
KR101585537B1 KR101585537B1 (ko) 2016-01-14

Family

ID=50028430

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157005427A KR101585537B1 (ko) 2012-07-31 2013-07-16 공통 기판 상의 파워 소자 집적

Country Status (3)

Country Link
EP (1) EP2880688B1 (ko)
KR (1) KR101585537B1 (ko)
WO (1) WO2014022092A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190106781A (ko) * 2018-03-09 2019-09-18 인피니언 테크놀로지스 오스트리아 아게 반도체 장치
KR102030463B1 (ko) * 2018-03-30 2019-10-10 현대오트론 주식회사 레터럴 타입의 전력 반도체 소자
US10582580B2 (en) 2015-04-29 2020-03-03 Infineon Technologies Ag Switch comprising a field effect transistor and integrated circuit

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9780189B2 (en) * 2015-06-03 2017-10-03 Silanna Asia Pte Ltd Transistor with contacted deep well region
JP6540528B2 (ja) * 2016-02-04 2019-07-10 三菱電機株式会社 半導体装置及びその製造方法
US11588028B2 (en) 2021-01-15 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Shielding structure for ultra-high voltage semiconductor devices
CN113224164B (zh) * 2021-04-21 2022-03-29 电子科技大学 一种超结mos器件
CN113659001A (zh) * 2021-09-14 2021-11-16 苏州英嘉通半导体有限公司 倾斜场板的制造方法、hemt器件及其制造方法
CN113659000B (zh) * 2021-09-14 2024-04-30 苏州英嘉通半导体有限公司 倾斜场板的制造方法、hemt器件及其制造方法
CN115332323A (zh) * 2022-10-18 2022-11-11 广州粤芯半导体技术有限公司 半导体器件及其制造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5365102A (en) 1993-07-06 1994-11-15 North Carolina State University Schottky barrier rectifier with MOS trench
US5382818A (en) * 1993-12-08 1995-01-17 Philips Electronics North America Corporation Lateral semiconductor-on-insulator (SOI) semiconductor device having a buried diode
US6078090A (en) 1997-04-02 2000-06-20 Siliconix Incorporated Trench-gated Schottky diode with integral clamping diode
US6049108A (en) 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
US6831331B2 (en) * 1995-11-15 2004-12-14 Denso Corporation Power MOS transistor for absorbing surge current
DE19647324B4 (de) * 1995-11-15 2013-06-27 Denso Corporation Halbleiterbauelement
AU2002337297A1 (en) * 2001-10-23 2003-05-06 Cambridge Semiconductor Limited Lateral semiconductor-on-insulator structure and corresponding manufacturing methods
KR100867574B1 (ko) * 2002-05-09 2008-11-10 페어차일드코리아반도체 주식회사 고전압 디바이스 및 그 제조방법
US8692324B2 (en) * 2005-07-13 2014-04-08 Ciclon Semiconductor Device Corp. Semiconductor devices having charge balanced structure
US7420247B2 (en) * 2005-08-12 2008-09-02 Cicion Semiconductor Device Corp. Power LDMOS transistor
DE102006053145B4 (de) * 2005-11-14 2014-07-10 Denso Corporation Halbleitervorrichtung mit Trennungsbereich
US7446375B2 (en) 2006-03-14 2008-11-04 Ciclon Semiconductor Device Corp. Quasi-vertical LDMOS device having closed cell layout
US7745846B2 (en) 2008-01-15 2010-06-29 Ciclon Semiconductor Device Corp. LDMOS integrated Schottky diode
JP2010258210A (ja) * 2009-04-24 2010-11-11 Sanyo Electric Co Ltd 半導体装置とその製造方法
US8138049B2 (en) * 2009-05-29 2012-03-20 Silergy Technology Fabrication of lateral double-diffused metal oxide semiconductor (LDMOS) devices
US8120105B2 (en) * 2009-07-31 2012-02-21 Micrel, Inc. Lateral DMOS field effect transistor with reduced threshold voltage and self-aligned drift region
US8174070B2 (en) 2009-12-02 2012-05-08 Alpha And Omega Semiconductor Incorporated Dual channel trench LDMOS transistors and BCD process with deep trench isolation
US8217452B2 (en) 2010-08-05 2012-07-10 Atmel Rousset S.A.S. Enhanced HVPMOS

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10582580B2 (en) 2015-04-29 2020-03-03 Infineon Technologies Ag Switch comprising a field effect transistor and integrated circuit
KR20190106781A (ko) * 2018-03-09 2019-09-18 인피니언 테크놀로지스 오스트리아 아게 반도체 장치
KR102030463B1 (ko) * 2018-03-30 2019-10-10 현대오트론 주식회사 레터럴 타입의 전력 반도체 소자

Also Published As

Publication number Publication date
EP2880688A1 (en) 2015-06-10
WO2014022092A1 (en) 2014-02-06
CN104769715A (zh) 2015-07-08
EP2880688B1 (en) 2020-07-15
KR101585537B1 (ko) 2016-01-14
EP2880688A4 (en) 2016-03-30

Similar Documents

Publication Publication Date Title
US11791377B2 (en) Power device integration on a common substrate
US8674440B2 (en) Power device integration on a common substrate
US10290702B2 (en) Power device on bulk substrate
US8994105B2 (en) Power device integration on a common substrate
KR101585537B1 (ko) 공통 기판 상의 파워 소자 집적
US8928116B2 (en) Power device integration on a common substrate
US11888047B2 (en) Lateral transistors and methods with low-voltage-drop shunt to body diode
US8921186B2 (en) Semiconductor device and method of forming high voltage SOI lateral double diffused MOSFET with shallow trench insulator
CN104769715B (zh) 共用衬底上的功率裝置集成

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181212

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191216

Year of fee payment: 5