KR20140141648A - 체계적 결함 필터를 갖는 레티클 결함 검사 - Google Patents

체계적 결함 필터를 갖는 레티클 결함 검사 Download PDF

Info

Publication number
KR20140141648A
KR20140141648A KR1020147028376A KR20147028376A KR20140141648A KR 20140141648 A KR20140141648 A KR 20140141648A KR 1020147028376 A KR1020147028376 A KR 1020147028376A KR 20147028376 A KR20147028376 A KR 20147028376A KR 20140141648 A KR20140141648 A KR 20140141648A
Authority
KR
South Korea
Prior art keywords
defect
reticle
defects
defect data
image
Prior art date
Application number
KR1020147028376A
Other languages
English (en)
Other versions
KR102084809B1 (ko
Inventor
빙 리
웨이민 마
조셉 블레쳐
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20140141648A publication Critical patent/KR20140141648A/ko
Application granted granted Critical
Publication of KR102084809B1 publication Critical patent/KR102084809B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Quality & Reliability (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

포토리소그라피 레티클을 검사하기 위한 방법 및 장치가 개시된다. 결함 데이터의 스트림이 레티클 검사 시스템으로부터 수신된다. 결함 데이터는 레티클의 복수의 상이한 부분들에 대해 검출된 결함들을 식별한다. 레티클이 검사를 통과했는지를 결정하기 위해 결함 데이터를 검토하기 이전에 그리고 결함 데이터의 스트림이 계속 수신될 때, 결함들 중 일부는 실질적으로 매칭하는 결함들의 그룹을 형성하도록 다른 가장 최근의 하나 이상의 수신된 결함들과 함께 자동으로 그룹핑된다. 레티클이 검사를 통과했는지를 결정하기 위해 결함 데이터를 검토하기 이전에 그리고 레티클에 대한 모든 결함 데이터가 수신된 이후, 미리 결정된 문턱값을 초과하는 수를 갖는 결함들의 그룹들 중 하나 이상은 필터링된 결함 데이터를 형성하도록 결함 데이터로부터 자동으로 필터링된다. 필터링된 결함 데이터는 이어서 레티클이 통과했는지를 결정하기 위해 검토국에 제공될 수 있다.

Description

체계적 결함 필터를 갖는 레티클 결함 검사{RETICLE DEFECT INSPECTION WITH SYSTEMATIC DEFECT FILTER}
관련 출원들에 대한 상호참조
본 출원은 (i) Bing Li 등에 의해, 발명의 명칭이 "Reticle Defect Inspection with Systematic Defect Filter"이고 2012년 3월 8일 출원된 미국 가출원 번호 제61/608,445호 및 (ii) Bing Li 등에 의해, 발명의 명칭이 "Reticle Defect Inspection with Systematic Defect Filter"이고, 2012년 4월 9일 출원된 미국 가출원 번호 제61/621,725호를 우선권으로 주장하며, 상기 가출원들은 모든 목적을 위해 그 전체가 인용에 의해 본원에 포함된다.
본 발명의 기술 분야
본 발명은 일반적으로 레티클 검사(reticle inspection)에 관한 것이다. 보다 구체적으로, 본 발명은 레티클 결함 검출로부터 체계적 결함들을 필터링하기 위한 방법에 관한 것이다.
일반적으로, 반도체 제조 산업은 실리콘과 같은 기판 상에 적층되고 패터닝되는 반도체 물질들을 이용하여 집적 회로들을 제작하기 위한 매우 복잡한 기법들을 포함한다. 집적 회로의 대규모 및 반도체 디바이스의 감소하는 크기로 인해, 제작된 디바이스들은 결함들에 몹시 민감하게 된다. 즉, 디바이스에서 고장들을 야기하는 결함들은 점점 더 작아지고 있다. 이 디바이스는 종단 사용자들 또는 고객들로의 배송 이전에 고장이 없다.
집적 회로는 통상적으로 복수의 레티클들로부터 제작된다. 레티클들의 생성 및 이러한 레티클들의 후속적 광학 검사는 반도체들의 생산에 있어서 표준 단계들이 되었다. 초기에, 회로 설계자들은 특정한 집적 회로(IC) 설계를 설명하는 회로 패턴 데이터를 레티클 생산 시스템 또는 레티클 기록기에 제공한다. 회로 패턴 데이터는 통상적으로 제작된 IC 디바이스의 물리층들의 대표적 레이아웃의 형태이다. 대표적 레이아웃은 IC 디바이스의 각각의 물리층(예를 들어, 게이트 산화물, 폴리실리콘, 금속화 등)에 대한 대표층이며, 여기서 각각의 대표층은 특정한 IC 디바이스의 층의 패터닝을 정의하는 복수의 다각형들로 구성된다.
레티클 기록기는 특정한 IC 설계를 제작하기 위해 추후에 이용될 복수의 레티클들을 기록(예를 들어, 통상적으로 전자빔 기록기 또는 레이저 스캐너가 레티클 패턴을 노출하도록 이용됨)하기 위해 회로 패턴 데이터를 이용한다. 레티클 검사 시스템은 이어서 레티클들의 생산 동안 발생할 수 있는 결함들에 대해 레티클들을 검사할 수 있다.
레티클 또는 포토마스크는 집적 회로와 같은 전자 디바이스에서 동일평면 특징들(coplanar features)의 패턴을 함께 정의하는, 적어도 투명한 그리고 불투명한 영역들, 및 때때로 반-투명 및 위상 시프팅 영역들을 포함하는 광학 엘리먼트이다. 레티클들은 에칭, 이온 주입 또는 다른 제작 프로세스를 위해 반도체 웨이퍼의 특정된 영역들을 정의하기 위해 포토리소그라피 동안 이용된다.
각각의 레티클 또는 레티클들의 그룹의 제작 이후에, 각각의 레티클은 통상적으로 제어된 조명기로부터 나오는 광으로 그것을 조명함으로써 검사된다. 레티클의 부분의 테스트 이미지가 광 센서로 반사되고, 투과되거나, 그렇지 않으면 지향되는 광의 부분에 기초하여 구성된다. 이러한 검사 기법들 및 장치는 당 분야에 잘 알려져 있고, 캘리포니아 밀피타스의 KLA-Tencor 사로부터 이용 가능한 다수의 상업적인 제품들과 같은 다양한 상업적인 제품들에서 실현된다.
종래의 검사 프로세스 동안, 레티클의 테스트 이미지는 통상적으로 베이스라인 이미지(baseline image)에 비교된다. 통상적으로 베이스라인 이미지는 레티클 그 자체 상의 인접한 다이로부터 또는 회로 패턴 데이터로부터 생성된다. 어느 방식이든, 테스트 이미지 특징들은 분석되고, 베이스라인 이미지의 특징들에 비교된다. 각각의 차이값은 이어서 미리 결정된 문턱값에 비교된다. 테스트 이미지가 미리 결정된 문턱값을 초과하여 베이스라인 이미지로부터 변동되면, 결함이 정의되고 보고된다.
2개의 이미지들 간에 검출된 각각의 차이는 인쇄 가능한 결함을 초래할 가능성을 갖는다. 역으로, 검출된 결함들 중 일부는 결과적인 집적 회로에 영향을 주지 않을 것이다. 이러한 문턱값이 너무 높게 또는 너무 낮게 세팅되었는지에 의존하여, 이 기법은 작은 결함들을 포착하는데 실패할 수 있고 매우 다수의 "거짓(false)" 결함들을 또한 포착할 수 있다.
검출된 "거짓" 결함들의 수를 감소시키면서 레티클들 상의 결함들을 정확하고 신뢰 가능하게 검출하기 위한 개선된 검사 기법들의 지속적인 요구가 존재한다.
다음은 본 발명의 특정한 실시예들의 기본적인 이해를 제공하기 위해 본 개시의 단순화된 요약을 제시한다. 이 요약은 본 개시의 광범위한 개요(extensive overview)가 아니며, 이 요약은 본 발명의 핵심적인/중요한 엘리먼트들을 식별하거나 본 발명의 범위를 한정하지 않는다. 그 유일한 목적은 추후에 제시되는 보다 상세한 설명에 대한 전제부로서 단순화된 형태로 본 명세서에서 개시되는 몇몇 개념들을 제시하는 것이다.
일 실시예에서, 포토리소그라피 레티클을 검사하는 방법이 개시된다. 결함 데이터의 스트림이 레티클 검사 시스템으로부터 수신되며, 여기서 결함 데이터는 레티클의 복수의 상이한 부분들에 대해 검출된 복수의 결함들을 식별한다. 레티클이 검사를 통과했는지를 결정하기 위해 결함 데이터를 검토하기 이전에 그리고 결함 데이터의 스트림이 계속 수신될 때, 결함들 중 일부는 실질적으로 매칭하는 결함들의 그룹을 형성하도록 다른 가장 최근의 하나 이상의 수신된 결함들과 함께 자동으로 그룹핑된다. 레티클이 검사를 통과했는지를 결정하기 위해 결함 데이터를 검토하기 이전에 그리고 레티클에 대한 모든 결함 데이터가 수신된 이후, 미리 결정된 문턱값을 초과하는 수를 갖는 결함들의 그룹들 중 하나 이상은 필터링된 결함 데이터를 형성하도록 결함 데이터로부터 자동으로 필터링된다. 필터링된 결함 데이터는 이어서 레티클이 통과했는지를 결정하기 위해 검토국에 제공될 수 있다.
특정한 실시예에서, 상기 레티클이 검사를 통과했는지를 결정하기 위해 상기 결함 데이터를 검토하는 것은 수동으로 수행된다. 다른 실시예에서, 결함 데이터는 한 번에 하나의 이미지에 대해 수신되고, 자동으로 그룹핑하는 단계는 각각의 결함 이미지가 수신될 때, 존재하는 경우 기존의 시드 그룹에 이 각각의 결함 이미지가 매칭하는지를 결정하고, 이러한 결함 이미지를 이러한 매칭하는 기존의 시드 그룹에 부가함으로써 달성된다. 그렇지 않으면, 이러한 결함 이미지를 포함하는 새로운 시드 그룹을 형성된다. 추가의 양상에서, 존재하는 경우 기존의 시드 그룹에 각각의 결함 이미지가 매칭하는지를 결정하고 이러한 결함 이미지를 이러한 매칭하는 기존의 시드 그룹에 부가하는 것은, 존재하는 경우 매칭이 발견될 때까지 한번에 하나씩 복수의 시드 그룹들에 이러한 결함 이미지를 비교함으로써 달성된다. 다른 양상에서, 존재하는 경우 매칭이 발견될 때까지 한번에 하나씩 복수의 시드 그룹들에 이러한 결함 이미지를 비교하는 것은, 이러한 결함 이미지가 상기 시드 그룹들 중 하나와 실질적으로 매칭하는 형상을 갖는지를 우선 결정하고, 실질적으로 매칭하는 형상이 있는 경우에만, 실질적으로 매칭하는 형상을 갖는 시드 그룹에 대해 이러한 결함 이미지를 픽셀별로 비교함으로써 달성된다. 또 다른 양상에서, 픽셀별 비교가 2x2 픽셀 차이 미만을 발생시킬 때 이러한 결함 이미지가 상기 시드 그룹들 중 특정한 하나와 함께 그룹핑되는 것으로 결정된다.
다른 구현에서, 자동으로 그룹핑하는 단계는 마지막 내지 최초 수신된 결함 데이터의 시간 순서로 달성된다. 다른 양상에서, 자동으로 그룹핑하는 단계 및 필터링하는 단계는 사람 개입 없이 수행된다. 다른 예에서, 미리 결정된 문턱값은 이러한 특정한 그룹의 결함을 둘러싸는 영역의 균일도의 레벨에 의존하는 조정된 값을 갖는다. 추가의 양상에서, 미리 결정된 문턱값은, 이러한 특정한 그룹의 결함이 실질적으로 블랭크 배경(substantially blank background)에 의해 둘러싸이는 경우 특정한 그룹이 필터링되지 않도록 세팅되는 조정된 값을 갖는다. 또 다른 양상에서, 미리 결정된 문턱값은 2차원 결함을 갖는 제 2 그룹보다 1차원 결함을 갖는 제 1 그룹에 대해 더 높은 조정된 값을 갖는다. 특정한 구현들에서, 특정한 그룹의 결함 타입에 대한 미리 결정된 문턱값은 1/log(A)에 비례하며, 여기서 A는 고유한 배경 패턴의 영역이다.
특정한 실시예들에서, 본 발명은 포토리소그라피 레티클을 검사하기 위한 시스템에 관한 것이다. 시스템은 적어도 하나의 메모리 및 위에서 설명된 동작들 중 적어도 일부를 수행하도록 구성된 적어도 하나의 프로세서를 포함한다. 다른 실시예들에서, 본 발명은 위에서 설명된 동작들 중 적어도 일부를 수행하기 위한 명령어들이 저장되어 있는 컴퓨터 판독 가능한 매체들에 관한 것이다.
본 발명의 이들 및 다른 양상들은 도면들을 참조하여 아래에서 추가로 설명된다.
도 1a는 본 발명의 일 실시예에 따른 검사 프로세스를 예시하는 흐름도이다.
도 1b는 본 발명의 특정한 구현에 따른 자동 체계적 결함 필터링 프로세스를 예시하는 흐름도이다.
도 1c는 일 예시적인 결함 검출 프로시저를 예시하는 흐름도이다.
도 2는 몇몇 레티클에 대한 필터링된 결함들에 비교하여, 필터링 이전에 레티클에 대한 검출된 결함들을 예시한다.
도 3은 본 발명의 일 실시예에 따른 검사 시스템의 개략적인 대표도이다.
도 4는 레티클과 같은 샘플의 2개의 "스와스들"에 대응하는 이미지 데이터의 2개의 세트들의 개략적인 대표도이다.
도 5는 조각들로 분할되는 스와스에 대응하는 이미지 데이터 세트의 개략적인 예시이다.
도 6a는 특정한 실시예들에 따라 포토마스트로부터 웨이퍼 상에 마스크 패턴을 전사하기 위한 리소그라피 시스템의 단순화된 개략적인 대표도이다.
도 6b는 특정한 실시예들에 따른 포토마스크 검사 장치의 대략적인 대표도를 제공한다.
이어지는 설명에서, 다수의 특정한 세부사항들은 본 발명의 완전한 이해를 제공하기 위해 제시된다. 본 발명은 이들 특정한 세부사항들 중 일부 또는 전부 없이 실시될 수 있다. 다른 예들에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 설명되지 않는다. 본 발명은 특정한 실시예들과 함께 설명될 것이지만, 이것은 본 발명을 이 실시예들로 제한하도록 의도되진 않는다는 것이 이해될 것이다.
"레티클"들 이란 용어들은 일반적으로 그것 상에 형성된 불투명한 물질의 층을 갖는 유리, 보로실리케이트 유리 및 융합된 실리카와 같은 투명한 기판들을 포함한다. 불투명한 영역들은 투명한 기판 내에 에칭되는 영역들에 의해 대체될 수 있다.
다수의 상이한 타입들의 레티클들이 당 분야에 알려져 있고 본 명세서에서 이용되는 바와 같은 레티클이란 용어는 모든 타입들의 레티클들을 포함하도록 의도된다. 예를 들어, 레티클이란 용어는 클리어-필드(clear-field) 레티클, 다크-필드(dark-field) 레티클, 이진 레티클, 위상-시프트 마스트(phase-shift mask; PSM), 교호적인 PSM, 약화된 또는 하프톤 PSM 및 1/3 약화된(ternary attenuated) PSM을 포함하는(그러나 이들로 제한되지 않음) 상이한 타입들의 레티클들을 지칭한다. 클리어-필드 레티클은 투명한 필드 또는 배경 영역들을 갖고, 다크-필드 레티클은 불투명한 필드 또는 배경 영역들을 갖는다. 이진 레티클은 투명하거나 불투명한 패터닝된 영역들을 갖는 레티클이다. 예를 들어, 크롬 금속 흡수막에 의해 정의되는 패턴을 갖는 투명한 융합 실리카 블랭크(transparent fused silica blank)로부터 제조된 포토마스크가 이용될 수 있다. 이진 레티클들은 위상-시프트 마스크들(PSM)과 상이하며, 이들 중 하나의 타입은 광을 단지 부분적으로만 투과하는 막들을 포함할 수 있으며, 이들 레티클들은 하프톤 또는 임베딩된 위상-시프트 마스크들(embedded phase-shift mask; EPSM)로서 흔히 지칭될 수 있다. 위상 시프팅 물질이 레티클의 교호적인 청결 공간들 상에 배치되는 경우, 이 레티클은 교호적인 PSM, ALT PSM 또는 레베슨(Levenson) PSM으로서 지칭된다. 임의의 레이아웃 패턴들에 적용되는 하나의 타입의 위상-시프팅 물질은 불투명한 물질을 부분적 투과 또는 "하프톤" 막으로 대체함으로써 제작될 수 있는 약화된 또는 하프톤 PSM으로서 지칭된다. 1/3 약화된 PSM은 완전한 불투명한 특징들을 또한 포함하는 약화된 PSM이다.
일반적으로, 결함 검출 프로세스 동안 생산되는 검출된 레티클 결함들은 3개의 타입들: 실제 결함들, 랜더링 프로세스 결함들, 및 레티클 검사 프로세스 결함들로 분류될 수 있다. 실제 결함들은 레티클의 부분 상의 실제 오염 또는 레티클 패턴의 부분의 의도하지 않은 제거에 대응한다. 본 명세서에서 이용되는 바와 같이, "실제" 또는 "실제적" 결함이란 용어는 과도한 코너 라운드링, 불만족스런 치수들, 잘못된 특징들, 특징들 간의 브리징 등과 같이, 레티클을 이용하여 웨이퍼 상에 인쇄되는 설계 패턴에서 결함을 야기할 수 있는 레티클의 설계 패턴에 있어서의 결함을 지칭한다. 레티클 검사 프로세스 결함들은 검사 프로세스에 의해 유도된다. 예를 들어, 결함 검출 프로세스는 "거짓" 결함들이 레티클 이미지 전체에 걸쳐서 발견되게 하는 모델링 프로세스를 포함할 수 있다. 렌더링 프로세스 결함들은 광학 검사 툴로부터의 효과들에 의해 야기된다. 다양한 광학 왜곡들은 결함으로서 의도하지 않게 검출될 수 있는, 레티클 이미지의 차이를 야기할 수 있다.
거짓 결함들은, "체계적(systematic)" 결함들로서 또한 지칭될 수 있는데, 이는 양자의 타입들의 거짓 결함들이 통상적으로 결함 검출 프로세스 동안 체계적 패턴들 또는 높은 수들로 생성되기 때문이다 예를 들어, 거짓 결함들을 야기한 모델링은 계속해서 재차, 높은 곡률 패턴들 또는 작은 특징들과 같은 동일한 패턴에서 발생하는 경향이 있다. 대조적으로, 실제 결함들은 통상적으로 랜덤 이벤트들이어서, 실제 결함들의 위치 및 외형은 랜덤이며 반복적이지 않다.
도 1a는 본 발명의 실시예들에 따른 검사 프로세스(100)의 개요를 예시하는 흐름도이다. 동작(102)에서, 결함들은 특정한 레티클에 대해 검출된다. 일반적으로, 임의의 적합한 타입 및 수의 결함 검출 기법들이 본 발명의 실시예들로 구현될 수 있다. 예를 들어, 상이한 결함 검출기들이 핀홀 결함들, 핀돗 결함들, 상이한 타입들의 라인 에지 결함들, 라인 종료 결함들, 상이한 크기 결함들, 오염 결함들, 하프톤 결함들 등과 같은 상이한 타입들의 실제 결함들을 검출하기 위해 이용될 수 있다.
부가적으로, 특정한 타입의 결함(또는 레티클 상의 모든 "실제" 결함들)을 검출하기 위한 감도는 모든 특정한 타입의 결함을 실질적으로 포착하도록 조정될 수 있다. 일반적으로, 상이한 타입들의 결함들을 갖는 테스트 레티클들이 제작되고 이어서 각각의 결함 검출기 기법에 대한 감도를 결정하도록 검사될 수 있다. 그러나, 본 발명의 체계적 결함 필터링 프로세스는 임의의 적합한 검출된 결함 데이터와 함께 이용될 수 있으며 이러한 데이터가 어떻게 생성되는지에 의존하지 않는다.
특정한 레티클에 대한 결함들이 검출되면, 검출된 결함 데이터의 하나 이상의 스트림들이 동작(104)에서, 검출된 결함들로부터 체계적 결함들을 자동으로 필터링하기 위한 프로세스에 송신될 수 있다. 체계적 필터 프로세스(104)는 일반적으로, 그것이 수신되었을 때, 이전에 수신된 결함들에 하나 이상의 결함들을 비교하여 이러한 매칭하는 결함들을 함께 그룹핑함으로써 검출되는 유사한 결함들의 패턴들이 있는지를 결정함으로써 결함 데이터를 자동으로 분석하도록 구성될 수 있다. 특정한 레티클에 대한 실질적으로 모든 검출된 결함 데이터가 발견되고 그룹핑(매칭하는 경우)된 이후, 필터링 프로세스는 이어서 아래에서 추가로 설명되는 바와 같이 검출된 데이터로부터 체계적 결함들을 자동으로 필터링할 수 있다. 체계적 결함들이 모든 검출된 결함들로부터 필터링된 이후, 필터링된 결함들은 이어서 동작(106)에서 검토될 수 있다. 예를 들어, 필터링된 결함들은 잔여 결함들이 조사된 레티클을 통해 제작되는 제작된 디바이스 구조들의 동작에 결정적으로 영향을 미칠 가능성이 있는지를 결정하기 위해 수동으로 검토될 수 있다.
이어서 레티클이 동작(108)에서 통과되는지가 결정될 수 있다. 이 결정은 총 결함들의 수(필터링 이후), 특정한 타입들의 결함들의 총 수 등과 같은 임의의 적합한 팩터에 기초할 수 있다. 레티클이 통과하는 경우, 레티클은 이어서 반도체 디바이스들을 갖는 웨이퍼를 제작하는데 이용될 수 있다. 그렇지 않으면, 레티클은 동작(110)에서 수리되거나 폐기된다. 레티클이 수리되는 경우, 결함 검출, 필터링 및 검토 프로세스들이 이어서 수리된 레티클에 대해 반복될 수 있다. 레티클이 폐기되는 경우, 다른 개선된 레티클이 결함 결과들에 기초하여 제조되고, 결함 검출, 필터링 및 검토 프로세스들이 이어서 이 개선된 레티클 상에서 수행된다.
임의의 적합한 기법이 검출된 결함 데이터로부터 실질적으로 유사한 결함들의 패턴을 자동으로 필터링하기 위해 이용될 수 있다. 일 구현에서, 결함 데이터는 각각의 그룹 내의 결함들의 수에 기초하여 추후에 필터링되는 결함 그룹들의 고유한 해결책을 획득하도록 시간 순차적 데이터의 스트림에서 분석된다. 물론, 다른 기법들은 그룹핑된 결함들의 고유한 세트를 획득하기 위해 이용될 수 있다.
도 1b는 본 발명의 특정한 구현에 따라 자동 체계적 결함 필터리 프로세스(120)를 예시하는 흐름도이다. 이 예에서, 결함 데이터는 시간 순서로 그룹핑된다. 초기에, 제 1 결함 이미지가 동작(122)에서 검사 시스템으로부터 수신된다. 이 실시예에서, 각각의 결함이 발견될 때, 결함 이미지(또는 신호 또는 데이터)가 자동 필터링 프로세스에 의해 획득된다. 아래에서 추가로 설명되는 바와 같이, 레티클은 결함들을 검출하기 위해 이미지들을 분석하는 복수의 이미지 프로세서들에 의해 개별적으로 프로세싱되는 복수의 이미지들을 획득하도록 스캐닝될 수 있다. 각각의 결함이 발견되면, 필터링 프로세스는 이어서 이러한 발견된 결함에 대응하는 결함 이미지를 수신할 수 있다.
제 1 결함 이미지가 수신된 이후, 수신된 결함 이미지가 동작(124)에서 임의의 기존의 시드 결함 그룹들에 매칭하는지가 이어서 결정될 수 있다. 이것이 제 1 결함 이미지이기 때문에, 어떠한 매칭도 없다고 결정된다. 이어서 새로운 시드 그룹이 동작(126)에서 현재 결함 이미지에 기초하여 생성된다. 이어서 동작(128)에서 임의의 추가의 결함들이 있는지가 결정될 수 있다. 즉, 모든 결함 데이터가 결함 검출 시스템으로부터 수신되었는지가 결정된다.
추가의 결함들이 있는 경우, 다른 결함 이미지가 동작(122)에서 검사 시스템으로부터 수신된다. 이어서 결함이 동작(124)에서 임의의 기존의 시드 결함 그룹들에 매칭하는지가 결정될 수 있다. 복수의 결함 이미지들이 수신되고 매칭들에 대해 분석된 이후, 복수의 상이한 시드 그룹들이 생성될 수 있다. 고유한 해결책을 획득하기 위해, 기존의 시드 그룹들은, 마지막 수신된 결함 이미지와의 가능한 매칭에 대해 마지막 수신된 시드 그룹(또는 마지막 수신된 결함을 가짐)을 분석하고, 이어서 매칭이 발견될 때까지 마지막 수신된 시드 그룹 직전의 시드 그룹을 분석하는 것과 같이 시간 순서로 분석될 수 있다.
각각의 그룹은 다른 새롭게 수신된 결함들이 비교될 수 있는 이러한 그룹에 부가된 제 1(또는 마지막) 수신된 결함과 같은 정의된 시드 결함을 가질 수 있다. 즉, 새롭게 수신된 결함 이미지와 (이러한 그룹으로 이미 그룹핑된 각각의 결함들 보단 오히려) 그룹의 시드 결함 간의 비교가 단지 행해질 수 있다. 물론, 비교는 각각의 그룹의 모든 결함들 및 새롭게 수신된 결함 이미지의 분석을 포함할 수 있지만, 이 기법은 적어도 오늘날의 프로세싱 속도들에서 상당히 덜 효율적일 수 있다.
새롭게 수신된 결함 이미지와 시드 그룹 간의 비교가 임의의 적합한 방식으로 수행될 수 있다. 일 구현에서, 비교는 결함들이 유사한 형상을 갖는지를 결정하기 위해 결함들을 사전-검열하는 것을 포함한다. 새롭게 수신된 결함 및 시드 결함이 유사한 형상을 갖는 경우, 2개의 결함들은 다른 방식들(예를 들어, 위치, 형상, 크기, 배향 등)에서 2개의 결함들이 매칭하는지를 결정하기 위해 추가로 분석된다. 즉, 2개의 결함들이 픽셀별 단위로(pixel-by-pixel basis) 서로 정렬되고 비교될 수 있다. 2개의 결함들은 이들이 미리 결정된 문턱 기준들(예를 들어, 2x2 잔차(residual)와 같은) 미만인 차이를 가질 때 매칭하는 것으로서 정의될 수 있다. 그러나 이 문턱값은, 결함 데이터가 적절한 수 및 타입의 빈들(bins)(예를 들어, "실제" 결함들 대 "체계적" 결함들)로 맵핑되도록 최적의 문턱값을 결정하기 위해 테스트 레티클들을 이용하여 조정될 수 있다. 이 그룹핑의 감도는 결함들을 검출하기 위한 검사 감도보다 상당히 더 높을 수 있다.
매칭이 있는 경우, 현재 결함은 동작(127)에서 매칭하는 그룹에 부가될 수 있다. 그렇지 않으면, 현재 결함에 대한 새로운 시드 그룹이 동작(126)에서 생성될 수 있다. 따라서, 새로운 시드 그룹은 인입하는 결함이 기존의 시드 그룹들의 결함들 중 어느 것과도 매칭하지 않을 때 형성된다. 그 결과, 각각의 시드 그룹은 복수의 실질적으로 매칭하는 결함 이미지를 포함할 것이다.
특정한 레티클에 대한 모든 결함들이 특정한 시드 그룹들에 매칭된 이후, 시드 그룹들은 필터링을 위해 분석될 수 있다. 일 실시예에서, 시드 그룹들인 한번에 하나씩 분석될 수 있다. 다른 실시예들에서, 시드 그룹들은 병렬로 분석될 수 있다. 예시되는 예에서, 제 1 시드 그룹은 동작(130)에서 획득될 수 있다. 이 현재 시드 그룹은 이어서 동작(132)에서, 이 현재 시드 그룹 내의 결함들의 수가 미리 결정된 문턱값보다 큰 경우 필터링될 수 있다.
임의의 적합한 수의 상이한 필터링 문턱값들이 이용될 수 있다. 일 구현에서, 상이한 문턱값들이 각각의 결함 그룹의 상이한 배경 패턴들을 위해 이용된다. 즉, 결함 및 그것이 주위 영역에 어떻게 관련되는지가 조사되어 복수의 상이한 문턱값들로부터 어느 문턱값을 선택할지를 결정한다. 예를 들어, 그룹들의 결함이 대부분 블랭크 영역(예를 들어, 0 차원 또는 0D 결함)에 의해 둘러싸인 지점 또는 미립자 결함인 경우, 이 결함은 "실제" 미립자 결함일 가능성이 있고, 카운트 문턱값은 사실상 무한대로 설정되어서, 모든 이 그룹들의 결함들은 결함 카운트가 얼마나 높은지에 무관하게 유지되게 된다. 다른 예에서, 결함이 (예를 들어, 라인(line)과 같은) 1차원 또는 1D 결함인 경우, 카운트 문턱값은 패턴 타입 결함들 대 "실제" 결함들 간을 구분하기 위해(예를 들어, 아니면 그룹 내의 결함들이 실제 결함일 50%의 확률을 달성하기 위해) 특정한 값(X)으로 세팅된다. 2D 결함에 대한 카운트 문턱값은 1D 결함에 대한 카운트 문턱값보다 더 낮게 세팅될 수 있다. 특정한 구현에서, 선택된 문턱값(N)은 1/log(A)에 비례하며, 여기서 A는 고유한 배경 패턴의 영역이다. 이 실시예에서, 무지 배경(plain background)을 갖는 2D 결함은, 어떠한 고유한 배경 영역도 없으므로(즉, 모든 배경 픽셀들이 동일함) 필터링되지 않을 것이다. 마찬가지로, 예를 들어, 0D 결함에 대한 일정한 배경은 1의 영역을 갖는 것으로서 정의될 수 있으며, 이는 카운트 문턱값이 무한대가 되어 0D 결함 그룹의 모든 결함들이 유지되게 된다. 더 큰 고유한 배경 영역을 갖는 결함들은 비교적 더 낮은 카운트 문턱값들을 발생시킨다.
상이한 사용자들은 상이한 결함 타입들에 대해 상이한 카운트 문턱값을 쉽게 선택할 수 있다. 예를 들어, 상이한 제작 프로세스들은 체계적 대 실제 결함들에 대한 적절한 비닝(binning)을 달성하기 위해 상이한 카운트 문턱값들을 요구할 수 있다. 이러한 카운트 문턱값들의 선택은, 이를테면, 구성 파일 또는 그래픽 사용자 인터페이스를 통해, 임의의 적합한 방식으로 달성될 수 있다.
결함 데이터로부터 이러한 그룹의 결함들을 필터링할지 여부를 위해 특정한 시드 그룹이 분석된 이후, 동작(134)에서, 이어서 임의의 다른 시드 그룹들이 있는지가 결정될 수 있다. 더 이상 추가의 시드 그룹들이 없는 경우, 프로시저는 종료(120)한다. 그렇지 않으면, 다음 시드 그룹이 동작(130)에서 획득되고, 필터링 프로세스는 필터링할지 또는 필터링하지 않을지에 대해, 모든 시드 그룹들이 분석될 때까지 지속된다.
특정한 자동 필터링 실시예들이 검토 툴 운영자가 검토할 결함들의 클리너 세트(cleaner set)를 제공할 수 있다. 도 2는 윈도우(202)의 동일한 레티클에 대한 필터링 결함들에 비교해서, 윈도우(200)의 필터링하기 이전에 레티클에 대한 검출된 결함들을 예시한다. 도시된 바와 같이, 필터링 이후 결함 카운트가 상당히 감소된다. 각각의 윈도우에 대한 히스토그램(histogram)들은 각각의 기하학적-패턴-기반 결함 타입: 클리어/하프톤, EdgeToLE(edge to line end), LEOrCorner(line edge or corner), OnHalftone, IsoEdge(isolated edge), OnClear 등에서 결함들의 수를 도시하며, 각각은 결함의 크기(소, 중, 대, 또는 특대)에 따른다. 체계적 필터링이 오프(off)일 때, 결함 맵은 체계적 결함들의 존재로 인해 일반적인 멀티-다이 레이아웃을 도시한다는 것을 알 수 있다. 체계적 필터링이 턴 온될 때, 단지 실제 결함들만이 남아있는 반면에, 이들 체계적 결함들은 필터링된다.
위에서 설명된 기법들의 특정한 실시예들은 종래의 결함 템플릿들 없이 반복하는 결함들의 자동 식별 및 반복성 및 랜덤성에 따른 거짓 결함들의 필터링을 포함한다. 이들 필터링 기법들은 또한 스캐닝된 레티클의 개별 "조각(patch)" 부분들을 프로세싱하기 위해 병렬 프로세서들을 이용하는 조각 레벨 이미지 프로세싱의 제한을 극복할 수 있다. 아래에서 추가로 설명되는 바와 같은 조각의 한계로 제한되는 조각 레벨 프로세싱은 고유하게 플래이트 레벨에서 결함들의 전역적 관찰을 결여한다. 다른 한편, 필터링 기법의 특정한 실시예들은 결함들의 전역적 관찰에 기초하여 판단을 내릴 수 있다.
자동 체계적 결함 필터링은 추가의 레시피 최적화 및 알고리즘 개선들이 달성하기 어려운 다수의 경우들에서 거짓 결함들의 수를 상당히 감소시킬 수 있다. 체계적 결함 필터링은 또한 특정한 기하학적 분류들에 대한 감도 세팅들을 최적화할 필요성을 감소시키면서 더 작은 결함들을 발견하도록 더 높은 감도 검사를 가능케할 수 있다.
부가적으로, 특정한 실시예들은 이러한 결함들이 검토국에 도달하기 이전에 자동으로 결함들을 필터링하기 위한 매커니즘을 제공할 수 있다. 체계적 결함으로서 정의된 결함 그룹들이 필터링되기 때문에, 검토 운영자에는 검토할 결함들의 더 적은 서브세트만이 제시된다. 대조적으로, 자동 결함 분류 기법들은 체계적 결함들을 또한 포함하는 매우 다수의 결함 클래스들을 제공한다. 전체 결함 클래스가 중요한 결함들을 표현하는지를 결정하기 위해 운영자가 각각의 클래스에서 단지 하나의 결함만을 검토하도록 분류된 결함들이 허용할 수 있을지라도, 운영자는 또한 어느 결함 클래스들이 단지 체계적 결함들 대 실제 레티클 결함들을 포함하는지 결정하기 위해 매우 다수의 결함 클래스들을 지루하게 검토해야할 필요가 있을 것이다. 전체 그룹들이 운영자 검토 이전에 자동으로 필터링될 때, 운영자에게는 체계적 결함들을 배제한 필터링된 결함들이 제시된다.
임의의 적합한 결함 검출 프로시저는 본 명세서에서 설명된 자동 체계적 결함 필터링 기법들에서 결함 데이터를 입력하는데 이용될 수 있다. 도 1c는 일 예시적인 결함 검출 프로시저(150)를 예시하는 흐름도이다. 초기에, 검사되는 레티클은 동작(152)에서 제공된다. 레티클은 이어서 동작(154)에서 레티클의 복수의 테스트 이미지들을 획득하도록 스캐닝될 수 있고, 각각의 테스트 이미지가 결함 프로세서에 송신된다.
각각의 테스트 이미지는 이어서 동작(158)에서 그의 대응하는 기준 이미지에 대해 정렬될 수 있다. 기준 이미지는 레티클 설계 패턴들 상에서 레티클 제조 및 검사 프로세스를 시뮬레이팅함으로써 레티클 그 자체(예를 들어, 다이-투- 다이)로부터 획득된 다른 레티클 이미지로부터 또는 레티클 설계 데이터베이스(다이-투-데이터베이스)로부터 획득될 수 있다. 각각의 테스트 및 기준 이미지는 또한 동작(160)에서 거짓 체계적 결함들과 함께, 실질적으로 모든 실제 레티클 결함들을 포착하도록 고-감도를 이용하여 분석될 수 있다.
각각의 검출된 이미지가 발견된 이후, 각각의 검출된 결함 이미지는 이어서 동작(162)에서 자동 체계적 결함 필터링 프로세스에 송신될 수 있다. 또한 동작(164)에서 레티클 스캔이 완료되었는지가 결정될 수 있다. 레티클 스캔이 완료된 경우, 프로시저(150)는 종료한다. 그렇지 않으면, 프로시저는 레티클을 스캐닝하고 고감도를 이용하여 결함들을 검출하기를 지속한다.
도 3은 본 발명의 일 실시예에 따른 검사 시스템(300)의 대략적인 대표도이다. 검사 시스템은 검사 툴 또는 스캐너(도시되지 않음) 데이터 분배 시스템(예를 들어, 304a, 및 304b), 이미지 프로세싱 시스템(예를 들어, 306a 및 306b), 하나 이상의 자동 필터링 프로세서들 및 메모리(예를 들어, 312), 네트워크(예를 들어, 스위칭된 네트워크(308)), 광학 대용량 저장 디바이스(316) 및 하나 이상의 검사 제어 및/또는 검토국들(예를 들어, 310)로부터 입력(402)을 수신할 수 있다. 각각의 프로세서는 통상적으로 하나 이상의 마이크로프로세서 집적 회로들을 포함할 수 있고, 인터페이스 및/또는 메모리 집적 회로들을 또한 포함할 수 있으며, 하나 이상의 공유 및/또는 글로벌 메모리 디바이스들에 또한 커플링될 수 있다.
검사 시스템(300)은 스캐너 또는 데이터 획득 시스템(도시되지 않음)으로부터 입력(302)을 수신하도록 배열될 수 있다. 스캐너는 샘플의 이미지를 획득하기 위한 임의의 적합한 기구일 수 있다. 예를 들어, 스캐너는 하나 이상의 광 센서들로 반사되고, 투과되거나, 그렇지 않으면 지향되는 광의 부분에 기초하여 샘플의 부분의 광학 이미지를 구성할 수 있다. 대안적으로, 스캐너는 샘플의 이미지를 획득하기 위해 전자 빔(e-빔), 또는 다른 방법들을 활용할 수 있다.
이미지 데이터는 임의의 적합한 샘플 타입으로부터 획득될 수 있다. 즉, 샘플은 비교적 많은 양의 이미지 데이터를 초래하는 것일 수 있다. 본 명세서에서 설명되는 예시된 예들이 다수의 미세한 패턴들이 갖고 있는 레티클의 형태의 샘플에 관련되지만, 본 발명의 기법들은 또한 반도체 디바이스 또는 물질, 배면측 박막 또는 컴퓨터 디스크의 형태를 취하는 샘플에 적용될 수 있다.
이미지 데이터(302)는 샘플의 이미지를 표현하기 위한 임의의 적합한 형태를 취할 수 있다. 예를 들어, 이미지 데이터는 통상적으로 샘플의 부분 또는 조각(patch)을 각각 표현하는 복수의 이미지들 또는 이미지 부분들을 포함한다. 샘플의 부분들은 이미지 데이터를 생성하도록 스캐닝될 수 있다. 이들 샘플 부분들 및 대응하는 이미지들은 특정한 시스템 및 애플리케이션 요건들에 의존하여 임의의 크기 및 형상일 수 있다. 이미지들은 임의의 적합한 방식으로 샘플을 스캐닝함으로써 획득될 수 있다. 예로서, 이미지들은 샘플을 래스터 스캐닝(raster scanning)함으로써 획득될 수 있다. 대안적으로, 이미지들은 원 또는 나선 패턴과 같은 임의의 적합한 패턴으로 샘플을 스캐닝함으로써 획득될 수 있다. 물론, 센서들은 상이하게(예를 들어, 원 패턴으로) 배열되어야 할 수도 있고 및/또는 샘플은 샘플로부터 원 또는 나선 형상을 스캐닝하기 위해 스캐닝 동안 상이하게 이동(예를 들어, 회전)될 수 있다.
아래에 예시되는 예에서, 샘플이 센서들을 지나 이동하면, 샘플의 직사각형 영역(이하 "스와스(swath)"로서 본 명세서에서 지칭됨)이 이미지들의 세트로 변환된다. 이 실시예에서, 스캐너의 센서들은 직사각형 패턴으로 배열된다. 이 예에 대해서, 센서들은 샘플로부터 광을 수신하고, 이로부터, 예를 들어, 약 1백만 픽셀들 폭 및 약 1000 내지 2000 픽셀들 높이일 수 있는 샘플들의 스와스에 대응하는 데이터의 세트를 생성하도록 배열된다.
도 4는 본 발명의 실시예들에 따라, 레티클과 같은 샘플(400)의 2개의 "스와스들"(402 및 404)에 대응하는 이미지 데이터의 2개의 세트들의 개략적인 대표도이다. 이미지 데이터의 각각의 세트는 샘플(400)의 "스와스"에 대응할 수 있다. 도 4의 예에서, 이미지 데이터의 제 1 세트는 샘플(400)의 제 1 스와스(402)에 대응하고, 이미지 데이터의 제 2 세트는 샘플(400)의 제 2 스와스(404)에 대응한다.
이미지 데이터의 각각의 세트는 사문형(serpentine) 또는 래스터 패턴으로서 샘플로부터 스와스들을 순차적으로 스캐닝함으로써 획득될 수 있다. 예를 들어, 샘플(400)의 제 1 스와스(402)는 이미지 데이터의 제 1 세트를 획득하기 위해 좌로부터 우로 이미지 획득 시스템에 의해 스캐닝된다. 제 2 스와스(404)는 이어서 이미지 데이터의 제 2 세트를 획득하기 위해 우로부터 좌로 스캐닝된다.
바람직한 실시예에서, 이미지 데이터의 각각의 세트와 이미지 데이터의 다음 세트 간에, 샘플 상의 오버랩(overlap)에 대응하는 오버랩(406)이 있다. 이 오버랩은 샘플(400) 상에 특정한 패턴들을 프로세싱하는데 있어 보다 많은 유연성을 허용한다. 예를 들어, 이 오버랩은, 스와스들을 오버랩핑함으로써 커버되는 표면의 부분 상의 임의의 곳의 임의의 패턴이, 그 패턴의 높이가 오버랩 영역의 높이보다 적거나 동일하면, 적어도 하나의 스와스 내에 완전히 포함될 것이라는 것을 보장한다. 대부분의 알고리즘들은, 알고리즘이 조사하고 있는 이미지 부분에 전체 패턴이 존재하지 않으면 패턴에서 결함을 적절히 검출할 수 없다.
도 3으로 뒤로 돌아와서, 이미지 데이터(302)는 네트워크(308)를 통해 데이터 분배 시스템에 의해 수신될 수 있다. 데이터 분배 시스템은 수신된 이미지 데이터(302)의 적어도 부분을 보유하기 위한 하나 이상의 메모리 디바이스들, 이를 테면 RAM 버퍼들과 연관될 수 있다. 바람직하게는, 총 메모리는 이미지 데이터의 전체 견본들을 보유하기에 충분히 크다. 예를 들어, 1 기가바이트의 메모리는 1백만 x 1000 픽셀들인 견본에 대해 잘 작동한다.
데이터 분배 시스템(예를 들어, 304a 및 304b)은 또한 이미지 프로세서들(예를 들어, 306a 및 306b)로의 수신된 이미지 입력 데이터(302)의 부분들의 분배를 제어할 수 있다. 예를 들어, 데이터 분배 시스템은 제 1 이미지를 이미지 프로세서(306a)로 라우팅할 수 있고, 제 2 이미지를 이미지 프로세서(306b)로 라우팅할 수 있다.
이미지 프로세서들은 샘플의 적어도 부분 또는 조각에 대응하는 이미지를 수신할 수 있다. 이미지 프로세서들은 또한, 각각 이미지 데이터 부분을 보유하는 것과 같은 로컬 메모리 기능들을 제공하는 하나 이상의 메모리 디바이스들(도시되지 않음), 이를 테면, DRAM 디바이스들에 통합되거나, 이에 커플링될 수 있다. 바람직하게는, 메모리는 샘플의 조각에 대응하는 이미지를 보유하기에 충분히 크다. 예를 들어, 8 기가바이트의 메모리는 512 x 1024 픽셀들인 조각에 대응하는 이미지에 대해 잘 작동한다. 대안적으로, 이미지 프로세서들은 메모리를 공유할 수 있다.
이미지 데이터(302)의 각각의 세트는 샘플의 스와스에 대응할 수 있다. 이미지 데이터의 하나 이상의 세트들은 데이터 분배 시스템의 메모리에 저장될 수 있다. 이 메모리는 분배 시스템 내의 하나 이상의 프로세서들에 의해 제어될 수 있고, 메모리는 복수의 파티션들로 분할될 수 있다. 예를 들어, 데이터 분배 시스템은 스와스의 부분에 대응하는 이미지를 제 1 메모리 파티션(도시되지 않음) 내로 수신할 수 있고, 데이터 분배 시스템은 다른 스와스에 대응하는 다른 이미지를 제 2 메모리 파티션(도시되지 않음) 내로 수신할 수 있다. 바람직하게는, 데이터 분배 시스템의 메모리 파티션들 각각은 이러한 메모리 파티션과 연관되는 프로세서로 라우팅될 이미지 데이터의 부분들만을 보유한다. 예를 들어, 데이터 분배 시스템의 제 1 메모리 파티션은 제 1 이미지를 보유하고 이미지 프로세서(306a)로 라우팅할 수 있고, 제 2 메모리 파티션은 제 2 이미지를 보유하고 이미지 프로세서(306b)로 라우팅할 수 있다.
데이터 분배 시스템은 또한 수신된 이미지 데이터의 부분들을 분할하여 이미지 프로세서들로 라우팅할 수 있다. 이미지 데이터는 데이터 분석을 용이하게 하기 위해 임의의 적합한 방식으로 데이터 분배 시스템에 의해 분할될 수 있다. 예를 들어, 이미지 데이터는 샘플의 "조각"에 각각 대응하는 이미지들로 분할될 수 있다. 도 5는 조각들로 분할되는 스와스에 대응하는 이미지 데이터 세트(402)의 개략적인 예시이다.
도시된 바와 같이, 이미지 세트(402)는 복수의 이미지들(502, 504, 506, 및 508)을 포함하고, 각각의 이미지는 샘플의 조각에 대응한다. 오버랩핑하는 스와스들에 대응하는 이미지 데이터의 세트들과 유사하게, 이미지 데이터의 특정한 세트 내의 이미지들은 또한 오버랩핑할 수 있다. 도시된 바와 같이, 이미지들(502 및 504) 간의 오버랩 영역(510c), 이미지들(504 및 506) 간의 오버랩 영역(510b), 및 이미지들(506 및 508) 간의 오버랩 영역(510a)이 있다.
오버랩핑하는 스와스 이미지들에 대해 위에서 논의된 바와 같이, 조각 이미지들의 오버랩핑은 또한 신뢰 가능한 프로세싱을 용이하게 한다. 예를 들어, 오버랩핑하는 영역들은, 구조의 폭이 오버랩 폭 미만일 때 오버랩 영역내에 부분적으로 또는 완전히 있는 완성된 구조를 프로세싱하는 것을 가능하게 한다. 콘볼루션들(convolutions) 및 다른 로컬-인근 동작들을 이용할 때 조각들의 에지들에서 발생하는 데이터의 손실 또는 침식은 또한 오버랩이 존재할 때 제거될 수 있다.
데이터 분배 시스템은 이미지 데이터의 임의의 적합한 파라미터들에 기초하여 이미지 데이터의 각각의 이미지를 정의 및 분배할 수 있다. 예를 들어, 이미지들은 샘플 상의 조각의 대응하는 위치에 기초하여 정의되고 분배될 수 있다. 일 실시예에서, 각각의 스와스는 스와스 내의 픽셀들의 수평 위치에 대응하는 열 위치들의 범위와 연관된다. 예를 들어, 스와스의 열들(0 내지 256)이 제 1 조각에 대응할 수 있고, 이들 열들 내의 픽셀들은 하나 이상의 리프(leaf) 프로세서들에 라우팅되는 제 1 이미지를 포함할 것이다. 마찬가지로, 스와스의 열들(257 내지 512)은 제 2 조각에 대응할 수 있고, 이들 열들 내의 픽셀들은 상이한 리프 프로세서(들)로 라우팅되는 제 2 이미지를 포함할 것이다.
시스템 예들
도 6a는 특정한 실시예들에 따라 포토마스크(M)로부터 웨이퍼(W) 상에 마스크 패턴을 전사하는데 이용될 수 있는 통상적인 리소그라피 시스템(600)의 단순화된 개략적 대표도이다. 이러한 시스템들의 예들은 스캐너들 및 스테퍼들, 보다 구체적으로 네덜란드, 펠트호번의 ASML로부터 이용 가능한 PAS 5500를 포함한다. 일반적으로, 조명 소스(603)는 광 빔을, 조명 렌즈(605)를 통해 마스크 플래인(602)에 위치된 포토마스크(M)로 지향시킨다. 조명 렌즈(605)는 그 플래인(602)에 개구수(numeric aperture)(601)를 갖는다. 개구수(601)의 값은 포토마스크 상의 어느 결함들이 리소그라피 유효 결함들이고 어느 결함들은 아닌지에 영향을 준다. 포토마스크(M)를 통과하는 빔의 부분은 패턴 전사를 개시하기 위해 웨이퍼(W) 상에 그리고 이미징 광학계들(653)을 통해 지향되는 패터닝된 광학 신호를 형성한다.
도 6b는 특정한 실시예들에 따라 레티클 플래인(652)에서 비교적 큰 개구수(651b)를 갖는, 이미징 렌즈(651a)를 갖는 검사 시스템(650)의 개략적인 대표도를 제공한다. 도시된 검사 시스템(650)은 예를 들어, 강화된 검사를 위해 60-200배 확대 또는 그 초과를 제공하도록 설계된 현미경 확대 광학계(653)를 포함한다. 검사 시스템의 레티클 플래인(652)의 개구수(651b)는 종종 리소그라피 시스템(600)의 레티클 플래인(602)의 개구수(601)보다 상당히 더 크며, 이는 테스트 검사 이미지들과 실제 인쇄 이미지들 간의 차이를 초래할 것이다.
본 명세서에서 설명된 검사 기법들은 도 6b에서 개략적으로 예시된 것과 같은 다양한 특별히 구성된 검사 시스템들 상에서 구현될 수 있다. 시스템(650)은 레티클 플래인(652)에서 포토마스크(M) 상으로 조명 광학계(651)를 통해 지향되는 광 빔을 생성하는 조명 소스(660)를 포함한다. 광 소스들의 예들은 레이저들 또는 필터링된 램프들을 포함한다. 일 예에서, 소스는 193nm 레이저이다. 위에서 설명된 바와 같이, 검사 시스템(650)은 대응하는 리소그라피 시스템의 레티클 플래인 개구수(예를 들어, 도 6a의 엘리먼트(601))보다 더 클 수 있는 레티클 플래인(652)의 개구수(651b)를 갖는다. 검사될 포토마스크(M)는 레티클 플래인(652)에 배치되고 소스에 노출된다.
마스크(M)로부터 패터닝된 이미지는, 센서(654) 상에 패터닝된 이미지를 프로젝팅하는 확대 광학 엘리먼트들(653)의 모음을 통해 지향된다. 적합한 센서들은 전하 커플링 디바이스들(charged coupled device; CCD), CCD 어레이들, 시간 지연 통합(time delay integration; TM) 센서들, TDI 센서 어레이들, 포토멀티플라이어 튜브들(photomultiplier tubes; PMT) 및 다른 센서들을 포함한다. 반사 시스템에서, 광학 엘리먼트들은 반사된 이미지를 지향 및 포착할 것이다.
센서(654)에 의해 포착된 신호들은 프로세싱을 위해 센서(654)로부터의 아날로그 신호들을 디지털 신호들로 변환하도록 구성된 아날로그-디지털 변환기를 포함할 수 있는 컴퓨터 시스템(673)에 의해, 또는 보다 일반적으로 신호 프로세싱 디바이스에 의해 프로세싱될 수 있다. 컴퓨터 시스템(673)은 감지된 광 빔의 세기, 위상 및/또는 다른 특성들을 분석하도록 구성될 수 있다. 컴퓨터 시스템(673)은 결과적인 테스트 이미지들 및 다른 검사 특성들을 디스플레이하기 위한 (예를 들어, 컴퓨터 스크린 상의) 사용자 인터페이스를 제공하도록 구성(예를 들어, 프로그래밍 명령어들을 통해)될 수 있다. 컴퓨터 시스템(673)은 또한 검출 문턱값의 변경과 같은 사용자 입력을 제공하기 위한 하나 이상의 입력 디바이스들(예를 들어, 키보드, 마우스, 조이스틱)을 포함할 수 있다. 특정한 실시예들에서, 컴퓨터 시스템(673)은 아래에서 상세된 검사 기법들을 수행하도록 구성된다. 컴퓨터 시스템(673)은 통상적으로 적절한 버스들 또는 다른 통신 매커니즘들을 통해 입력/출력 포트들 및 하나 이상의 메모리들에 커플링되는 하나 이상의 프로세서들을 갖는다.
이러한 정보 및 프로그램 명령어들이 특별히 구성된 컴퓨터 시스템 상에서 구현되기 때문에, 이러한 시스템은 컴퓨터 판독 가능한 매체들 상에 저장될 수 있는, 본 명세서에서 설명된 다양한 동작들을 수행하기 위한 프로그램 명령어들/컴퓨터 코드를 포함한다. 기계-판독 가능한 매체들의 예들은 하드 디스크들, 플로피 디스크들 및 자기 테이프와 같은 자기 매체들; CD-ROM 디스크들과 같은 광학 매체들; 광학 디스크들과 같은 자기-광학 매체들; 판독-전용 메모리 디바이스들(ROM) 및 랜덤 액세스 메모리(RAM)와 같이 프로그램 명령어들을 저장하고 수행하도록 특별히 구성되는 하드웨어 디바이스들을 포함(그러나 이들로 제한되지 않음)한다. 프로그램 명령어들의 예들은 컴파일러에 의해 생성된 것과 같은 기계 코드 및 인터프리터를 이용하여 컴퓨터에 의해 실행될 수 있는 더 상위 레벨 코드를 포함하는 파일들 둘 다를 포함한다.
특정한 실시예들에서, 포토마스크를 검사하기 위한 시스템은 본 명세서에서 설명된 기법들을 수행하도록 구성되는 적어도 하나의 메모리 및 적어도 하나의 프로세서를 포함한다. 검사 시스템의 일 예는 캘리포니아 밀피타스의 KLA-Tencor 사로부터 이용 가능한 특별히 구성된 TeraScanTM DUV 검사 시스템을 포함한다.
위의 발명은 이해의 명확성을 위해 어느 정도 상세히 설명되었지만, 특정한 변경들 및 변형들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명하다. 본 발명의 프로세스들, 시스템들 및 장치를 구현하는 다수의 대안적인 방식들이 있다는 것이 주의되어야 한다. 이에 따라, 본 실시예들은 제한적인 것이 아니라 예시적인 것으로서 해석될 것이며, 본 발명은 본 명세서에서 제공된 세부사항들로 제한되도록 의도되지 않는다.

Claims (23)

  1. 포토리소그라피 레티클을 검사하는 방법에 있어서,
    레티클 검사 시스템으로부터 결함 데이터의 스트림을 수신하는 단계로서, 상기 결함 데이터는 상기 레티클의 복수의 상이한 부분들에 대해 검출된 복수의 결함들을 식별하는 것인, 상기 수신하는 단계;
    상기 레티클이 검사를 통과하는지의 여부를 결정하기 위해 상기 결함 데이터를 검토하기 이전에 그리고 상기 결함 데이터의 스트림이 계속 수신될 때, 실질적으로 매칭하는 결함들의 그룹을 형성하도록 다른 가장 최근의 하나 이상의 수신된 결함들과 상기 결함들 중 일부를 자동으로 그룹핑하는 단계;
    상기 레티클이 검사를 통과하는지의 여부를 결정하기 위해 상기 결함 데이터를 검토하기 이전에 그리고 상기 레티클에 대한 모든 결함 데이터가 수신된 이후, 필터링된 결함 데이터를 형성하도록 상기 결함 데이터로부터, 미리 결정된 문턱값을 초과하는 수를 갖는 결함들의 그룹들 중 하나 이상을 자동으로 필터링하는 단계; 및
    상기 레티클이 통과하는지의 여부를 결정하기 위해 상기 필터링된 결함 데이터를 검토국(review station)에 제공하는 단계를
    포함하는, 포토리소그라피 레티클을 검사하는 방법.
  2. 제 1 항에 있어서,
    상기 레티클이 검사를 통과하는지의 여부를 결정하기 위해 상기 결함 데이터를 검토하는 것은 수동으로 수행되는 것인, 포토리소그라피 레티클을 검사하는 방법.
  3. 제 1 항에 있어서,
    상기 결함 데이터는 한 번에 하나의 결함 이미지에 대해 수신되고,
    자동으로 그룹핑하는 단계는, 각각의 결함 이미지가 수신될 때, 기존 시드 그룹이 존재하는 경우 상기 기존의 시드 그룹에 이러한 결함 이미지가 매칭하는지의 여부를 결정하고 이러한 결함 이미지를 이러한 매칭하는 기존의 시드 그룹에 부가함으로써, 그렇지 않으면 이러한 결함 이미지를 포함하는 새로운 시드 그룹을 형성함으로써, 달성되는 것인, 포토리소그라피 레티클을 검사하는 방법.
  4. 제 3 항에 있어서,
    기존 시드 그룹이 존재하는 경우 기존의 시드 그룹에 각각의 결함 이미지가 매칭하는지의 여부를 결정하고 이러한 결함 이미지를 이러한 매칭하는 기존의 시드 그룹에 부가하는 것은, 기존 시드 그룹이 존재하는 경우 매칭이 발견될 때까지 한번에 하나씩 복수의 시드 그룹들에 이러한 결함 이미지를 비교함으로써 달성되는 것인, 포토리소그라피 레티클을 검사하는 방법.
  5. 제 3 항에 있어서,
    기존 시드 그룹이 존재하는 경우 매칭이 발견될 때까지 한번에 하나씩 복수의 시드 그룹들에 이러한 결함 이미지를 비교하는 것은, 이러한 결함 이미지가 상기 시드 그룹들 중 하나와 실질적으로 매칭하는 형상을 갖는지의 여부를 먼저 결정하고, 실질적으로 매칭하는 형상이 있는 경우에만, 상기 실질적으로 매칭하는 형상을 갖는 상기 시드 그룹에 대해 이러한 결함 이미지를 픽셀별로 비교함으로써 달성되는 것인, 포토리소그라피 레티클을 검사하는 방법.
  6. 제 5 항에 있어서,
    상기 픽셀별 비교가 2x2 픽셀 차이 미만을 발생시킬 때 이러한 결함 이미지가 상기 시드 그룹들 중 특정한 하나와 함께 그룹핑되는 것으로 결정되는, 포토리소그라피 레티클을 검사하는 방법.
  7. 제 1 항에 있어서,
    자동으로 그룹핑하는 단계는 마지막 내지 최초 수신된 결함 데이터의 시간 순서로 달성되는 것인, 포토리소그라피 레티클을 검사하는 방법.
  8. 제 1 항에 있어서,
    자동으로 그룹핑하는 단계 및 필터링하는 단계는 사람 개입 없이 수행되는 것인, 포토리소그라피 레티클을 검사하는 방법.
  9. 제 1 항에 있어서,
    상기 미리 결정된 문턱값은 이러한 특정한 그룹의 결함을 둘러싸는 영역의 균일도의 레벨에 의존하는 조정된 값을 갖는 것인, 포토리소그라피 레티클을 검사하는 방법.
  10. 제 9 항에 있어서,
    상기 미리 결정된 문턱값은, 이러한 특정한 그룹의 결함이 실질적으로 블랭크 배경(substantially blank background)에 의해 둘러싸이는 경우 특정한 그룹이 필터링되지 않도록 세팅되는 조정된 값을 갖는 것인, 포토리소그라피 레티클을 검사하는 방법.
  11. 제 10 항에 있어서,
    상기 미리 결정된 문턱값은 2차원 결함을 갖는 제 2 그룹보다 1차원 결함을 갖는 제 1 그룹에 대해 더 높은 조정된 값을 갖는 것인, 포토리소그라피 레티클을 검사하는 방법.
  12. 제 9 항에 있어서,
    특정한 그룹의 결함 타입에 대한 상기 미리 결정된 문턱값은 1/log(A)에 비례하며, A는 고유한 배경 패턴의 영역인 것인, 포토리소그라피 레티클을 검사하는 방법.
  13. 포토리소그라피 레티클을 검사하기 위한 검사 시스템에 있어서,
    적어도 하나의 메모리 및 적어도 하나의 프로세서를 포함하고,
    상기 적어도 하나의 프로세서는,
    레티클 검사 시스템으로부터 결함 데이터의 스트림을 수신하는 동작으로서, 상기 결함 데이터는 상기 레티클의 복수의 상이한 부분들에 대해 검출된 복수의 결함들을 식별하는 것인, 상기 수신하는 동작;
    상기 레티클이 검사를 통과하는지를 결정하기 위해 상기 결함 데이터를 검토하기 이전에 그리고 상기 결함 데이터의 스트림이 계속 수신될 때, 실질적으로 매칭하는 결함들의 그룹을 형성하도록 다른 가장 최근의 하나 이상의 수신된 결함들과 상기 결함들 중 일부를 자동으로 그룹핑하는 동작;
    상기 레티클이 검사를 통과하는지를 결정하기 위해 상기 결함 데이터를 검토하기 이전에 그리고 상기 레티클에 대한 모든 결함 데이터가 수신된 이후, 필터링된 결함 데이터를 형성하도록 상기 결함 데이터로부터 미리 결정된 문턱값을 초과하는 수를 갖는 결함들의 그룹들 중 하나 이상을 자동으로 필터링하는 동작; 및
    상기 레티클이 통과하는지를 결정하기 위해 상기 필터링된 결함 데이터를 검토국에 제공하는 동작을
    수행하도록 구성되는 것인, 포토리소그라피 레티클을 검사하기 위한 검사 시스템.
  14. 제 13 항에 있어서,
    상기 결함 데이터는 한 번에 하나의 결함 이미지에 대해 수신되고, 자동으로 그룹핑하는 동작은, 각각의 결함 이미지가 수신될 때, 기존의 시드 그룹이 존재하는 경우 상기 기존의 시드 그룹에 이러한 결함 이미지가 매칭하는지의 여부를 결정하고 이러한 결함 이미지를 이러한 매칭하는 기존의 시드 그룹에 부가함으로써, 그렇지 않으면 이러한 결함 이미지를 포함하는 새로운 시드 그룹을 형성함으로써, 달성되는 것인, 포토리소그라피 레티클을 검사하기 위한 검사 시스템.
  15. 제 14 항에 있어서,
    기존의 시드 그룹이 존재하는 경우 상기 기존의 시드 그룹에 각각의 결함 이미지가 매칭하는지의 여부를 결정하고 이러한 결함 이미지를 이러한 매칭하는 기존의 시드 그룹에 부가하는 것은, 기존의 시드 그룹이 존재하는 경우 매칭이 발견될 때까지 한번에 하나씩 복수의 시드 그룹들에 이러한 결함 이미지를 비교함으로써 달성되는 것인, 포토리소그라피 레티클을 검사하기 위한 검사 시스템.
  16. 제 14 항에 있어서,
    기존의 시드 그룹이 존재하는 경우 매칭이 발견될 때까지 한번에 하나씩 복수의 시드 그룹들에 이러한 결함 이미지를 비교하는 것은, 이러한 결함 이미지가 상기 시드 그룹들 중 하나와 실질적으로 매칭하는 형상을 갖는지의 여부를 먼저 결정하고, 실질적으로 매칭하는 형상이 있는 경우에만, 상기 실질적으로 매칭하는 형상을 갖는 상기 시드 그룹에 대해 이러한 결함 이미지를 픽셀별로 비교함으로써 달성되는 것인, 포토리소그라피 레티클을 검사하기 위한 검사 시스템.
  17. 제 16 항에 있어서,
    상기 픽셀별 비교가 2x2 픽셀 차이 미만을 발생시킬 때 이러한 결함 이미지가 상기 시드 그룹들 중 특정한 하나와 함께 그룹핑되는 것으로 결정되는, 포토리소그라피 레티클을 검사하기 위한 검사 시스템.
  18. 제 13 항에 있어서,
    자동으로 그룹핑하는 동작은 마지막 내지 최초 수신된 결함 데이터의 시간 순서로 달성되는 것인, 포토리소그라피 레티클을 검사하기 위한 검사 시스템.
  19. 제 13 항에 있어서,
    상기 미리 결정된 문턱값은 각각의 특정한 그룹의 결함을 둘러싸는 영역의 균일도의 레벨에 의존하는 조정된 값을 갖는 것인, 포토리소그라피 레티클을 검사하기 위한 검사 시스템.
  20. 제 19 항에 있어서,
    상기 미리 결정된 문턱값은, 이러한 특정한 그룹의 결함이 실질적으로 블랭크 배경(substantially blank background)에 의해 둘러싸이는 경우 특정한 그룹이 필터링되지 않도록 세팅되는 조정된 값을 갖는 것인, 포토리소그라피 레티클을 검사하기 위한 검사 시스템.
  21. 제 20 항에 있어서,
    상기 미리 결정된 문턱값은 2차원 결함을 갖는 제 2 그룹보다 1차원 결함을 갖는 제 1 그룹에 대해 더 높은 조정된 값을 갖는 것인, 포토리소그라피 레티클을 검사하기 위한 검사 시스템.
  22. 제 19 항에 있어서,
    특정한 그룹의 결함 타입에 대한 미리 결정된 문턱값은 1/log(A)에 비례하며, A는 고유한 배경 패턴의 영역인 것인, 포토리소그라피 레티클을 검사하기 위한 검사 시스템.
  23. 명령어가 저장되어 있는 컴퓨터 판독 가능한 매체에 있어서,
    상기 명령어는,
    레티클 검사 시스템으로부터 결함 데이터의 스트림을 수신하는 동작으로서, 상기 결함 데이터는 상기 레티클의 복수의 상이한 부분들에 대해 검출된 복수의 결함들을 식별하는 것인, 상기 수신하는 동작;
    상기 레티클이 검사를 통과하는지의 여부를 결정하기 위해 상기 결함 데이터를 검토하기 이전에 그리고 상기 결함 데이터의 스트림이 계속 수신될 때, 실질적으로 매칭하는 결함들의 그룹을 형성하도록 다른 가장 최근의 하나 이상의 수신된 결함들과 상기 결함들 중 일부를 자동으로 그룹핑하는 동작;
    상기 레티클이 검사를 통과하는지의 여부를 결정하기 위해 상기 결함 데이터를 검토하기 이전에 그리고 상기 레티클에 대한 모든 결함 데이터가 수신된 이후, 필터링된 결함 데이터를 형성하도록 상기 결함 데이터로부터 미리 결정된 문턱값을 초과하는 수를 갖는 결함들의 그룹들 중 하나 이상을 자동으로 필터링하는 동작; 및
    상기 레티클이 통과하는지의 여부를 결정하기 위해 상기 필터링된 결함 데이터를 검토국에 제공하는 동작을
    수행하기 위한 것인, 컴퓨터 판독 가능한 매체.
KR1020147028376A 2012-03-08 2013-03-08 체계적 결함 필터를 갖는 레티클 결함 검사 KR102084809B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261608445P 2012-03-08 2012-03-08
US61/608,445 2012-03-08
US201261621725P 2012-04-09 2012-04-09
US61/621,725 2012-04-09
US13/486,253 2012-06-01
US13/486,253 US8718353B2 (en) 2012-03-08 2012-06-01 Reticle defect inspection with systematic defect filter
PCT/US2013/029795 WO2013134609A1 (en) 2012-03-08 2013-03-08 Reticle defect inspection with systematic defect filter

Publications (2)

Publication Number Publication Date
KR20140141648A true KR20140141648A (ko) 2014-12-10
KR102084809B1 KR102084809B1 (ko) 2020-03-04

Family

ID=49114168

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147028376A KR102084809B1 (ko) 2012-03-08 2013-03-08 체계적 결함 필터를 갖는 레티클 결함 검사

Country Status (7)

Country Link
US (2) US8718353B2 (ko)
EP (1) EP2823355B1 (ko)
JP (3) JP2015512051A (ko)
KR (1) KR102084809B1 (ko)
CN (1) CN104272185B (ko)
TW (2) TWI654427B (ko)
WO (1) WO2013134609A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180125592A (ko) * 2016-04-10 2018-11-23 케이엘에이-텐코 코포레이션 다중 패턴화 제조 소자에서 오버레이 관련 결함을 검출하는 설계 인식 시스템, 방법 및 컴퓨터 프로그램 제품
WO2020131755A1 (en) * 2018-12-17 2020-06-25 Micron Technology, Inc. Management of test resources to perform reliability testing of memory components
US11101015B2 (en) 2018-12-17 2021-08-24 Micron Technology, Inc. Multi-dimensional usage space testing of memory components

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
KR101841897B1 (ko) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8718353B2 (en) 2012-03-08 2014-05-06 Kla-Tencor Corporation Reticle defect inspection with systematic defect filter
US9367911B2 (en) * 2012-06-13 2016-06-14 Applied Materials Israel, Ltd. Apparatus and method for defect detection including patch-to-patch comparisons
TW201430336A (zh) * 2013-01-23 2014-08-01 Huang Tian Xing 缺陷檢測方法、裝置及系統
US9235885B2 (en) * 2013-01-31 2016-01-12 Applied Materials Israel Ltd System, a method and a computer program product for patch-based defect detection
US9607371B2 (en) 2013-04-01 2017-03-28 Kla-Tencor Corporation Mesoscopic defect detection for reticle inspection
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) * 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
JP6339807B2 (ja) * 2014-01-16 2018-06-06 株式会社ニューフレアテクノロジー 露光用マスクの製造方法、露光用マスクの製造システム、及び半導体装置の製造方法
US9286675B1 (en) * 2014-10-23 2016-03-15 Applied Materials Israel Ltd. Iterative defect filtering process
US10436720B2 (en) * 2015-09-18 2019-10-08 KLA-Tenfor Corp. Adaptive automatic defect classification
DE102018105322A1 (de) 2018-03-08 2019-09-12 Carl Zeiss Smt Gmbh Verfahren zum Betreiben einer industriellen Maschine
US10679333B2 (en) * 2018-03-14 2020-06-09 Kla-Tencor Corporation Defect detection, classification, and process window control using scanning electron microscope metrology
JP2022043365A (ja) * 2018-11-19 2022-03-16 株式会社日立ハイテク 検査装置、検査方法、欠陥検出プログラム
US10990019B2 (en) * 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
JP6788291B1 (ja) * 2019-06-03 2020-11-25 株式会社イクシス 点検支援システム
US11314164B2 (en) * 2019-12-31 2022-04-26 Taiwan Semiconductor Manufacturing Company Ltd. Structure and method of reticle pod having inspection window
KR20240011719A (ko) * 2021-05-25 2024-01-26 에이에스엠엘 네델란즈 비.브이. 마스크 규칙 체크 위반 및 마스크 디자인 결정

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030063199A (ko) * 2002-01-18 2003-07-28 엔이씨 일렉트로닉스 코포레이션 패턴검사장치
JP2006170907A (ja) * 2004-12-17 2006-06-29 Hitachi High-Technologies Corp パターン検査装置
US20090220142A1 (en) * 2008-02-29 2009-09-03 Hiroshi Matsushita Linear pattern detection method and apparatus
US20100119144A1 (en) * 2005-11-18 2010-05-13 Kla-Tencor Technologies Corporation Methods and systems for utilizing design data in combination with inspection data

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU1167620A1 (ru) 1984-02-24 1985-07-15 Ленинградский Институт Авиационного Приборостроения Устройство дл контрол планарных структур
RU1786406C (ru) 1989-12-12 1993-01-07 Научно-Техническое Кооперативное Предприятие "Акцент" Способ контрол дефектов на плоской отражающей поверхности и устройство дл его осуществлени
US6987873B1 (en) * 1998-07-08 2006-01-17 Applied Materials, Inc. Automatic defect classification with invariant core classes
RU2141647C1 (ru) 1998-11-30 1999-11-20 Войналович Александр Владимирович Способ контроля анализируемой поверхности и сканирующий анализатор поверхности
US7283659B1 (en) * 2002-01-09 2007-10-16 Kla-Tencor Technologies Corporation Apparatus and methods for searching through and analyzing defect images and wafer maps
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7729529B2 (en) * 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2007053166A (ja) * 2005-08-16 2007-03-01 Toshiba Corp 出来栄え予測装置、出来栄え予測方法及び半導体装置の製造方法
JP4776308B2 (ja) * 2005-09-05 2011-09-21 株式会社東京精密 画像欠陥検査装置、画像欠陥検査システム、欠陥分類装置及び画像欠陥検査方法
KR101885585B1 (ko) * 2005-11-18 2018-08-07 케이엘에이-텐코 코포레이션 검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및 시스템
US7962864B2 (en) * 2007-05-24 2011-06-14 Applied Materials, Inc. Stage yield prediction
CN101785009B (zh) * 2007-08-20 2012-10-10 恪纳腾公司 确定实际缺陷是潜在系统性缺陷还是潜在随机缺陷的计算机实现的方法
US8355562B2 (en) * 2007-08-23 2013-01-15 Hitachi High-Technologies Corporation Pattern shape evaluation method
JP5369643B2 (ja) * 2008-06-10 2013-12-18 富士通セミコンダクター株式会社 欠陥検査装置
JP4862031B2 (ja) * 2008-10-20 2012-01-25 株式会社ニューフレアテクノロジー マスク欠陥レビュー方法及びマスク欠陥レビュー装置
US8718353B2 (en) 2012-03-08 2014-05-06 Kla-Tencor Corporation Reticle defect inspection with systematic defect filter

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030063199A (ko) * 2002-01-18 2003-07-28 엔이씨 일렉트로닉스 코포레이션 패턴검사장치
JP2006170907A (ja) * 2004-12-17 2006-06-29 Hitachi High-Technologies Corp パターン検査装置
US20100119144A1 (en) * 2005-11-18 2010-05-13 Kla-Tencor Technologies Corporation Methods and systems for utilizing design data in combination with inspection data
US20090220142A1 (en) * 2008-02-29 2009-09-03 Hiroshi Matsushita Linear pattern detection method and apparatus

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180125592A (ko) * 2016-04-10 2018-11-23 케이엘에이-텐코 코포레이션 다중 패턴화 제조 소자에서 오버레이 관련 결함을 검출하는 설계 인식 시스템, 방법 및 컴퓨터 프로그램 제품
WO2020131755A1 (en) * 2018-12-17 2020-06-25 Micron Technology, Inc. Management of test resources to perform reliability testing of memory components
US10910081B2 (en) 2018-12-17 2021-02-02 Micron Technology, Inc. Management of test resources to perform reliability testing of memory components
US11101015B2 (en) 2018-12-17 2021-08-24 Micron Technology, Inc. Multi-dimensional usage space testing of memory components
US11257565B2 (en) 2018-12-17 2022-02-22 Micron Technology, Inc. Management of test resources to perform testing of memory components under different temperature conditions

Also Published As

Publication number Publication date
KR102084809B1 (ko) 2020-03-04
EP2823355A4 (en) 2015-11-04
US8718353B2 (en) 2014-05-06
TW201341787A (zh) 2013-10-16
JP2015512051A (ja) 2015-04-23
US9224195B2 (en) 2015-12-29
EP2823355B1 (en) 2018-01-31
JP2018084587A (ja) 2018-05-31
EP2823355A1 (en) 2015-01-14
JP6437146B2 (ja) 2018-12-12
TWI606235B (zh) 2017-11-21
JP2018084588A (ja) 2018-05-31
WO2013134609A1 (en) 2013-09-12
JP6598889B2 (ja) 2019-10-30
CN104272185B (zh) 2019-05-07
CN104272185A (zh) 2015-01-07
TWI654427B (zh) 2019-03-21
US20130236084A1 (en) 2013-09-12
TW201802463A (zh) 2018-01-16
US20140205179A1 (en) 2014-07-24

Similar Documents

Publication Publication Date Title
JP6598889B2 (ja) システム的欠陥フィルターによるレチクル欠陥検査
KR102100007B1 (ko) 레티클들을 검사하기 위한 머신 학습 방법 및 장치
US7729529B2 (en) Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
KR102485553B1 (ko) 임계 치수 균일도 강화 기술들 및 장치
US7570800B2 (en) Methods and systems for binning defects detected on a specimen
IL281931A (en) Monitoring the production of integrated circuits in a semiconductor panel
IL262464A (en) Systems and methods for automatic correction of deviation between testing and design for a massive model search
CN109659245B (zh) 监测光掩模缺陷率的改变
CN108351596B (zh) 用于半导体掩模检验的基于多边形的几何分类
CN109314067B (zh) 在逻辑及热点检验中使用z层上下文来改善灵敏度及抑制干扰的系统及方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant