KR20140050580A - 인시츄 수산화 시스템 - Google Patents

인시츄 수산화 시스템 Download PDF

Info

Publication number
KR20140050580A
KR20140050580A KR1020137023334A KR20137023334A KR20140050580A KR 20140050580 A KR20140050580 A KR 20140050580A KR 1020137023334 A KR1020137023334 A KR 1020137023334A KR 20137023334 A KR20137023334 A KR 20137023334A KR 20140050580 A KR20140050580 A KR 20140050580A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
hydroxide
substrate surface
amine
Prior art date
Application number
KR1020137023334A
Other languages
English (en)
Inventor
캔릭 최
타츠야 이. 사토
에르네스토 울로아
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/192,041 external-priority patent/US8778816B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140050580A publication Critical patent/KR20140050580A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus

Abstract

암모니아와 수증기를 이용하여 기판 표면을 수산화하기 위한 시스템들과 방법들이 개시되어 있다.

Description

인시츄 수산화 시스템{IN-SITU HYDROXYLATION SYSTEM}
본 발명의 실시예들은 일반적으로 기판의 표면에 수산기들을 생성하는 시스템들 및 방법들에 관한 것이다.
기판 표면에 박막들을 증착하는 것은 반도체 프로세싱, 확산 방지막 코팅들 및 자기 읽기/쓰기 헤드들을 위한 유전체들을 포함하여 다양한 산업에서 중요한 프로세스이다. 반도체 산업에서, 소형화(miniaturation)는 고종횡비 구조들 상에 컨포멀 코팅들을 생성하기 위해 박막 증착의 원자 레벨 제어를 포함할 수 있다. 원자 층 제어 및 컨포멀 증착으로 박막을 증착하기 위한 하나의 방법은 원자 층 증착(ALD)이며, 이 원자 층 증착은 옹스트롬 또는 단층 레벨로 제어되는 정밀한 두께의 층들을 형성하기 위해 순차적인 자가-제한적(self-limiting) 표면 반응들을 채용한다. 대부분의 ALD 프로세스들은 2원 화합물 필름을 증착하는 2원 반응 시퀀스들에 기초하고 있다. 2개의 표면 반응들은 각각 순차적으로 발생하며, 이들은 자가-제한적이기 때문에, 원자 레벨 제어로 박막이 증착될 수 있다. 표면 반응들이 순차적으로 이루어지기 때문에, 2개의 가스상 반응물들이 접촉하지 않으며, 입자들을 형성하여 증착시킬 수 있는 가능한 가스상 반응물들이 제한된다. 표면 반응들의 자가-제한적 특성도 반응 사이클 마다 반응이 완료되도록 만들 수 있으며, 연속적이며 핀홀이 없는 박막들을 만들어낸다.
ALD는 기판 표면들에 금속들과 금속 화합물들을 증착하기 위해 사용되어 왔다. Al2O3 증착은 ALD의 순차적이며 자가-제한적 반응들의 특성을 나타내는 전형적인 ALD 프로세스의 예이다. Al2O3 ALD는 통상적으로 트리메틸알루미늄(TMA, 흔히 반응 "A" 또는 "A" 전구체라 함)과 H2O(흔히 "B" 반응 또는 "B" 전구체라 함)를 사용한다. 2원 반응의 단계 A에서는, 하이드록실 표면 종들이 증기상 TMA와 반응하여 가스상의 표면-결합된 AlOAl(CH3)2와 CH4를 생성한다. 이 반응은 표면 상의 반응 위치들의 갯수에 의해 자가-제한된다. 2원 반응의 단계 B에서는, 표면-결합된 화합물의 AlCH3가 증기상 H2O와 반응하여 표면에 결합된 AlOH와 가스상 CH4를 생성한다. 이 반응은 표면-결합된 AlOAl(CH3)2상의 이용가능한 반응 위치들의 유한한 갯수에 의해 자가-제한된다. A와 B의 후속하는 사이클들, 가스상 반응 생성물들의 퍼징, 및 반응들 사이에서 그리고 반응 사이클들 사이에서 반응하지 않은 증기상 전구체들이 원하는 박막 두께를 얻기 위해 본질적으로 선형적인 방식으로 Al2O3를 성장시킨다.
그러나, 많은 ALD 반응들은 ALD 전구체들이 기판 표면과 반응하도록 하기 위해 반응 "핸들들"의 존재를 필요로 한다. 이러한 반응성을 부가하는 하나의 방법은 기판 표면에 -OH(하이드록실)기들을 추가하는 것이다. 이미 공지된 하나의 수산화 방법은 액체 암모니아와 물이 담긴 배스(bath)에 기판을 침지시키는 것이다. 이 프로세스는 -OH가 농후한 계면층 표면을 만들지만, 박막을 형성하기 위해 배스에서 프로세스 챔버로 웨이퍼를 이송할 때, 웨이퍼가 대기에 노출되는 단점이 있다. 하이(high)-k 유전체 박막들과 같은 일부 박막들, 예컨대, 하프늄 산화물에 있어서, 공기에 대한 노출은 유전체 박막을 통합시키는 디바이스의 히스테리시스를 열화시킨다. 암모니아와 물의 혼합은 수산화암모늄을 형성하고, 이는 부식성이 있으며 많은 금속들을 열화시키는 강력한 염기이다. 따라서, 암모니아와 물의 혼합을 포함하는 프로세스들은 금속 부품들의 열화가 예상되기 때문에 프로세스 챔버들에서 실시되지 않았다.
따라서, 이용가능한 기판 표면 수산화 프로세스들을 개선하는 방법들을 제공할 필요가 있다.
본 발명의 일 양태는 기판 표면을 수산화하기 위한 시스템을 제공한다. 이 양태의 하나 이상의 실시예들에서, 상기 시스템은 챔버 프로세스 영역을 획정하는 챔버 벽체, 챔버 플레이트 및 챔버 덮개를 가진 챔버 본체; 웨이퍼 지지체; 상기 챔버 프로세스 영역으로 아민과 수산화물을 전달하는 하나 이상의 인젝터들; 상기 챔버 프로세스 영역 내의 압력을 제어하는 압력 제어 밸브; 아민 유량 제어기, 수산화물 유량 제어기 및 수산화물의 분압을 변경하는 제어기를 포함하는 제어 시스템으로서, 상기 아민 유량 제어기와 상기 수산화물 유량 제어기는 기판의 표면을 수산화물과 아민에 동시에 노출하여 수산화된 기판 표면을 제공하기 위해 챔버 프로세스 영역으로의 아민과 수산화물의 흐름을 제어하는, 제어 시스템; 및 상기 프로세스 영역과 이송 챔버 사이의 챔버 본체 상에 설치되어, 제어된 압력 하에서 이송 챔버로의 기판의 이동을 허용하고 수산화된 기판의 대기에 대한 노출을 방지하는 전환 밸브를 포함한다.
하나 이상의 실시예들에 따르면, 상기 아민은 암모니아, 피리딘, 하이드라진, 알킬 아민들 및 아릴 아민들로부터 선택된다. 일부 실시예들에서, 상기 수산화물은 수증기를 포함한다.
하나 이상의 실시예들에 따르면, 상기 챔버 본체, 웨이퍼 지지체 및 하나 이상의 인젝터들은 스테인리스 스틸, 석영 및 폴리테트라플루오로에틸렌 중 하나 이상으로부터 선택된 수산화암모늄에 의한 열화에 대해 저항하는 물질들을 포함한다.
하나 이상의 실시예들에서, 상기 시스템은 상기 챔버 프로세스 영역으로부터 가스들을 제거하는 배기 시스템을 더 포함한다. 특정 실시예들에서, 상기 배기 시스템은 격리 밸브, 스로틀 밸브 및 펌프를 포함한다.
하나 이상의 실시예들에서, 상기 시스템은 상기 챔버 프로세스 영역으로 퍼지 가스를 전달하는 퍼지 가스 공급원에 연결된 퍼지 가스 인젝터를 포함하는 퍼지 가스 시스템을 더 포함한다.
하나 이상의 실시예들에서, 수증기 공급원은 액체수 소오스와 가열 요소를 포함한다. 다른 실시예들에서, 상기 수증기는 증기를 형성하도록 액체수를 가열함으로써 생성된다. 대안적인 실시예들에서, 상기 수증기 공급원은 액체수 소오스와, 수증기를 형성하도록 물을 통해 가스 기포를 발생시키기 위해 상기 액체수 소오스에 연결된 가스 소오스를 포함한다. 다른 실시예에서, 상기 수증기 공급원은 액체수와 분무기를 포함한다.
하나 이상의 실시예들에 따르면, 상기 시스템은 온도 제어기를 더 포함한다. 하나 이상의 실시예들에서, 상기 온도 제어기는, 아민과 수산화물이 챔버 덮개와 챔버 벽체 인근에서 반응하지 않고 아민과 수산화물이 웨이퍼 지지체 상의 기판 인근에서 반응하도록, 챔버 덮개와 챔버 벽체 인근의 온도를 유지하기 위해 가열 시스템과 통신한다. 특정 실시예들에서, 상기 가열 시스템은 챔버 덮개와 챔버 벽체 인근의 온도를 상승시키 위해 챔버 덮개와 챔버 벽체 인근에 배치된 가열 요소와, 챔버 플레이트 인근의 온도를 상승 및 하강시키기 위한 열 요소를 포함한다.
하나 이상의 실시예들에서, 상기 시스템은 웨어퍼 지지체 상에 기판을 하강시키고 웨이퍼 지지체로부터 기판을 상승시키기 위해 프로세스 챔버 내부에 배치된 리프팅 메커니즘을 더 포함한다. 특정 실시예들에 따르면, 상기 리프팅 메커니즘은 주변 프레임을 포함하며, 상기 프레임은 당해 프레임을 상승 및 하강시키기 위한 모터와 맞물린다.
본 발명의 다른 양태는 기판 표면을 수산화하기 위한 시스템에 관한 것으로, 상기 시스템은 챔버 프로세스 영역을 획정하는 챔버 벽체, 챔버 플레이트 및 챔버 덮개를 가진 챔버 본체; 웨이퍼 지지체; 상기 챔버 프로세스 영역으로 아민과 수산화물을 전달하는 하나 이상의 인젝터들; 상기 챔버 프로세스 영역 내의 압력을 제어하는 압력 제어 밸브; 상기 프로세스 영역과 이송 챔버 사이의 챔버 본체 상에 설치되어, 제어된 압력 하에서 이송 챔버로의 기판의 이동을 허용하는 전환 밸브; 및 아민 유량 제어기, 수산화물 유량 제어기, 및 상기 압력 제어 밸브, 아민 유량 제어기 및 수산화물 유량 제어기에 대해 신호들을 송수신하여 상기 챔버 프로세스 영역으로의 아민과 수산화물의 흐름을 제어하고 상기 챔버 프로세스 영역에서 수산화물의 분압을 제어하는 CPU를 포함하는 제어 시스템을 포함하며, 상기 제어 시스템은, CPU에 의해 실행되었을 때, 상기 시스템이 기판의 표면을 수산화물과 아민에 동시에 노출하여 수산화된 기판 표면을 제공하는 단계를 포함하는 방법을 실시하도록 하는 일련의 기계-실행가능한 지령들이 저장된 비일시적 컴퓨터-판독가능한 매체를 더 포함한다.
이 양태의 하나 이상의 실시예들에 따르면, 상기 아민은 암모니아, 피리딘, 하이드라진, 알킬 아민들 및 아릴 아민들로부터 선택된다. 일부 실시예들에서, 상기 수산화물은 수증기를 포함한다.
이 양태의 하나 이상의 실시예들에서, 상기 시스템은 이송 챔버와, 로드 락 조건들 하에서 이송 챔버와 통신하는 증착 챔버를 더 포함한다. 다른 실시예들에서, 상기 제어 시스템은, CPU에 의해 실행되었을 때, 상기 시스템이 기판의 표면을 수산화물과 아민에 동시에 노출하여 수산화된 기판 표면을 제공하는 단계; 수산화 챔버로부터 이송 챔버로 수산화된 기판을 이동시키는 단계; 이송 챔버로부터 증착 챔버로 수산화된 기판을 이동시키는 단계; 및 수산화된 기판에 박막을 증착하는 단계를 포함하는 방법을 실시하도록 하는 일련의 기계-실행가능한 지령들이 저장된 비일시적 컴퓨터-판독가능한 매체를 더 포함한다.
본 발명의 또 다른 양태는 수산화 챔버, 이송 챔버 및 증착 챔버를 포함하는 시스템을 사용하여 기판의 표면에 유전체 박막을 형성하는 방법을 제공한다. 하나 이상의 실시예들에 따르면, 상기 방법은 기판의 표면을 수산화물과 아민에 동시에 노출하여 수산화된 기판 표면을 제공하기 위해 수산화 챔버의 프로세스 영역으로의 아민과 수산화물의 흐름을 제어하는 단계; 프로세스 챔버 내부의 압력을 제어하는 단계; 로드 락 조건들 하에서 수산화 챔버로부터 이송 챔버로 그리고 증착 챔버로 수산화된 기판을 이동시키는 단계; 및 수산화된 기판에 유전체 박막을 증착하는 단계를 포함한다.
하나 이상의 실시예들에서, 상기 방법은 아민과 수산화물이 기판 인근에서 반응하고 아민과 수산화물이 프로세스 영역의 다른 부분들에서 반응하지 않도록 프로세스 영역에서 온도 분포를 제어하는 단계를 더 포함한다. 특정 실시예들에서, 상기 박막은 원자 층 증착 프로세스를 통해 증착된다.
본 발명의 또 다른 양태는 기판을 프로세싱하기 위한 시스템을 제공하며, 상기 시스템은 열 산화물 형성 챔버, 수산화 챔버, 이송 챔버 및 증착 챔버를 포함한다. 수산화 챔버는 상술한 양태들에서 개시한 챔버들 중 하나일 수 있다. 하나 이상의 실시예들에 따르면, 상기 증착 챔버는 수산화된 기판에 하이-k 유전체 박막을 증착한다. 하나 이상의 실시예들에서, 상기 이송 챔버는 대기로 기판을 노출하지 않고 2개 이상의 챔버들 사이로 기판을 이송할 수 있다.
이상에서는 본 발명의 확실한 특징들과 기술적 장점들을 다소 광범위하게 개략적으로 설명하였다. 개시된 특정 실시예들이 본 발명의 범위 내에 속하는 다른 구조들 또는 프로세스들을 변형하거나 설계하기 위한 기반으로 쉽게 활용될 수 있음을 당업자들은 이해하여야 한다. 또한, 그러한 등가의 구조들이 첨부된 특허청구범위에 규정된 본 발명의 사상과 범위로부터 벗어나지 않는다는 것을 당업자들은 인지하여야 한다.
따라서, 본 발명의 전술한 특징들이 구체적으로 이해될 수 있도록, 첨부도면들에 그 일부가 도시된 실시예들을 참조하여 위에서 약술한 본 발명에 대해 보다 상세하게 설명한다. 그러나, 첨부도면들은 단지 본 발명의 전형적인 실시예들을 도시하고 있을 뿐이며, 본 발명은 다른 동등한 효과를 가진 실시예들을 포함할 수 있으므로, 그 범위를 제한하는 것으로 이해되어서는 아니됨을 유의하여야 한다.
도 1a는 본 발명의 하나 이상의 실시예들에 따른 장치의 프로세스 영역의 측단면도를 도시하고 있다.
도 1b는 본 발명의 하나 이상의 실시예들에 따른 장치의 프로세스 영역의 상부 단면도를 도시하고 있다.
도 2는 본 발명의 하나 이상의 실시예들에 따른 시스템의 개요를 도시하고 있다.
도 3은 본 발명의 하나 이상의 실시예들에 따른 클러스터 툴 시스템의 개요를 도시하고 있다.
본 명세서에 개시된 다양한 실시예들은 공기에 노출시키지 않고 기판 표면을 수산화함으로써, 유전체 박막들을 포함한 디바이스들의 히스테리시스의 열화를 방지하기 위한 장치들과 방법들을 제공한다. 본 발명의 실시예들은 대기에 대한 기판의 노출을 피하도록 챔버의 프로세스 영역에서 실시될 수 있는 장치 및 프로세스들의 제공에 관한 것이다.
본 명세서에서 사용된 바와 같이, "기판 표면"은 제조 프로세스에서 박막 프로세싱이 실시되는 대상인 임의의 기판 또는 기판 상에 형성되는 물질의 표면을 의미한다. 프로세싱이 실시될 수 있는 대상인 기판 표면은, 응용예에 따라, 예컨대, 실리콘, 실리콘 산화물, 인장 실리콘(strained silicon), 실리콘 온 인슐레이터(SOI), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비화물, 유리, 사파이어, 및 금속들, 금속 질화물들, 금속 합금들 및 다른 전도성 물질들과 같은 임의의 다른 물질들과 같은 물질들을 포함한다. 기판 표면 상의 장벽 층들, 금속들 또는 금속 질화물들은 티타늄, 티타늄 질화물, 텅스텐 질화물, 탄탈륨 및 탄탈륨 질화물, 알루미늄, 구리, 또는 디바이스의 제조에 유용한 다른 전도체 또는 전도성 또는 비전도성 장벽 층을 포함한다. 기판은 직사각형 또는 정사각형의 판유리들 뿐만 아니라, 200㎜ 또는 300㎜ 직경의 웨이퍼들과 같은 다양한 치수들을 가질 수 있다. 본 발명의 실시예들이 유용할 수 있는 기판들은, 이에 한정되는 것은 아니지만, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 인장 실리콘, 실리콘 게르마늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 웨이퍼들, GaAs, GaN, InP 등과 같은 Ⅲ-Ⅴ족 물질들, 및 패턴화되거나 패턴화되지 않은 웨이퍼들과 같은 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 베이킹(baking)하기 위해 예열 프로세스에 노출될 수 있다.
따라서, 본 발명의 일 양태는 기판의 표면에 유전체 박막을 형성하기 위해 기판을 준비하는 방법에 관한 것으로, 상기 방법은 프로세스 챔버에 기판을 배치하는 단계와, 상기 프로세스 챔버로 수증기와 같은 수산화물과 암모니아와 같은 아민을 유동시키는 단계를 포함한다. 상기 수증기와 암모니아는, 기판의 표면이 수증기와 암모니아에 동시에 노출되도록, 흐른다. 이 방법은 진공 조건들 하에서, 즉 감압 하에서, 기판을 대기에 노출하지 않고, 실시된다. 하나 이상의 실시예들에 따르면, 질소와 같은 불활성 가스가 수산화 챔버에 존재할 수 있다.
수증기와 암모니아를 특별히 인용하였으나, 본 발명은 다른 수산화물과 아민 소오스들의 사용을 포함한다는 것을 이해할 것이다. 예컨대, 적당한 수산화물은 물과 과산화수소를 포함한다. 적당한 아민들의 예는 암모니아, 피리딘, 하이드라진, 알킬 아민들 및 아릴 아민들을 포함한다.
수증기와 암모니아는 기판의 표면에서 반응하여 수산화암모늄을 제공한 다음, 기판의 표면과 반응하여 수산화된 기판을 제공한다. 특정 실시예들에서, 기판 표면은 수산화되기 전에 할로겐화되지 않는다. 하나 이상의 실시예들에 따르면, 기판 또는 박막의 표면에 부가되는 유일한 작용기는 하이드록실 작용기이다.
하나 이상의 실시예들에 따르면, 상기 기판은 표면 수산화 이후에 추가적으로 프로세싱된다. 이러한 추가적인 프로세싱은 수산화 챔버와 동일한 챔버에서 실시되거나, 하나 이상의 별도의 프로세싱 챔버들에서 실시될 수 있다. 일 실시예에서, 수산화된 기판은 수산화 챔버로부터 추가적인 프로세싱을 위해 별도의 제 2 챔버로 이동하게 된다. 수산화된 기판은 수산화 챔버로부터 별도의 프로세싱 챔버로 직접 이동하게 되거나, 수산화 챔버로부터 하나 이상의 이송 챔버들로 이동한 다음, 원하는 별도의 프로세싱 챔버로 이동하게 될 수 있다.
하나 이상의 실시예들에 따르면, 수산화된 기판은 계속 진공 또는 "로드 락" 조건들 하에서 있으며, 하나의 챔버로부터 다음 챔버로 이동할 때, 대기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있으며, 진공 압력 하에서 "펌핑 다운"된다. 불활성 가스가 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 기판 표면을 수산화한 후 반응물의 일부 또는 전부를 제거하기 위해 퍼지 가스로서 불활성 가스가 사용된다. 하나 이상의 실시예들에 따르면, 반응물이 수산화 챔버로부터 이송 챔버 및/또는 프로세싱 챔버로 이동하는 것을 방지하기 위해 수산화 챔버의 출구에 퍼지 가스가 주입된다. 이에 따라, 불활성 가스의 흐름이 챔버의 출구에 커튼을 형성한다.
다른 프로세싱 챔버들은, 이에 한정되는 것은 아니지만, 증착 챔버들과 에칭 챔버들을 포함할 수 있다. 하나 이상의 실시예들에 따르면, 화학 기상 증착(CVD) 또는 원자 층 증착(ALD)과 같은 증착 프로세스에 의해 수산화된 기판 상에 박막이 증착된다. 특정 실시예에서, 원자 층 증착 프로세스를 통해 기판 상에 박막이 증착된다.
하나 이상의 실시예들에서, 유전 상수(k)가 높은 박막이 수산화된 기판에 증착된다. 하이-k 게이트 유전체들을 만들기 위해 사용할 수 있는 물질들은, 이에 한정되는 것은 아니지만, 하프늄 산화물, 란타늄 산화물, 란타늄 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 티타늄 산화물, 탄탈륨 산화물, 이트륨 산화물 및 알루미늄 산화물을 포함한다. 일부 실시예에서들, 하이-k 유전체 박막은 하프늄을 포함한다. 따라서, 본 발명의 일 양태는 기판의 표면에 유전체 박막을 형성하는 방법에 관한 것이다. 유전체 박막을 형성하는 방법은 기판의 표면을 수증기와 암모니아에 동시에 노출하여 수산화된 기판 표면을 제공하기 위해 수산화 챔버의 프로세스 영역으로의 수증기와 암모니아의 흐름을 제어하는 단계를 포함할 수 있다. 상기 방법은 프로세스 챔버 내부의 압력을 제어하는 단계와, 로드 락 조건들 하에서 수산화 챔버로부터 이송 챔버로 그리고 증착 챔버로 수산화된 기판을 이동시키는 단계를 더 포함할 수 있다. 마지막으로, 상기 방법은 수산화된 기판 상에 박막, 예컨대, 유전체 박막을 증착하는 단계를 포함한다.
이 양태의 하나 이상의 실시예들에 따르면, 상기 방법은 암모니아와 물이 기판 인근에서 반응하지만 암모니아와 물이 챔버 덮개 또는 챔버 벽체 인근과 같이 프로세스 영역의 다른 부분들에서는 반응하지 않도록 프로세스 영역에서 온도 분포를 제어하는 단계를 더 포함한다. 특정 실시예들에서, 상기 박막은 원자 층 증착 프로세스를 통해 증착된다.
따라서, 본 발명의 다른 양태는 전술한 임의의 실시예들에 따른 프로세스를 실시하기 위해 기판을 수산화하기 위한 장치에 관한 것이다. 일 실시예는 챔버 본체, 웨이퍼 지지체, 리프팅 메커니즘 및 하나 이상의 인젝터들을 포함하는 장치에 관한 것이다. 이 장치는 기판 표면에 수증기와 암모니아의 공급원을 제공하며, 수증기와 암모니아는 반응하여 수산화암모늄을 형성하고, 수산화암모늄은 기판의 표면을 수산화한다.
수증기와 암모니아가 반응하여 수산화암모늄을 형성하게 될 것이기 때문에, 챔버 프로세스 영역은 부식성 환경이 될 것이다. 따라서, 습윤화되는 경로에 있는 모든 구성 요소들은 수산화암모늄에 의한 열화에 대해 저항하는 물질들을 포함하여야 한다. 따라서, 알루미늄과 같이 반도체 프로세싱 챔버들에 채용되는 통상의 물질들은 부식성 환경에 노출될 구성 요소들을 위해서는 적합하지 않다. 하나 이상의 실시예들에 따르면, 상기 챔버 본체, 웨이퍼 지지체 및 하나 이상의 인젝터들은 수산화암모늄에 의한 열화에 대해 저항하는 물질들을 포함한다. 다른 실시예들에서, 상기 리프팅 메커니즘도 수산화암모늄에 의한 열화에 대해 저항하는 물질을 포함한다.
수산화암모늄에 대해 원하는 저항을 제공하게 될 많은 물질들이 사용될 수 있다. 장치의 다양한 구성 요소들을 위해, 예컨대, 스테인리스 스틸, 석영 및 폴리테트라플루오로에틸렌이 사용될 수 있다. 특정 실시예에서, 장치의 구성 요소들 중 하나 이상의 구성 요소들은 스테인리스 스틸을 포함한다.
챔버 본체는 챔버 벽체, 챔버 플레이트 및 챔버 덮개를 갖는다. 챔버 벽체, 챔버 플레이트 및 챔버 덮개는 수산화 반응이 이루어지는 영역인 챔버 프로세스 영역을 획정한다. 하나 이상의 인젝터들은 챔버 프로세스 영역으로 암모니아와 수증기를 분산시키고, 암모니아와 수증기는 반응하여 수산화암모늄을 형성한다. 그 다음, 수산화암모늄은 기판의 표면과 반응하여 수산화된 기판을 제공한다.
도 1a는 본 발명의 이 양태에 따른 챔버 본체(100)의 실시예의 측단면도를 도시하고 있다. 챔버 본체(100)는, 챔버 프로세스 영역(104)을 획정하는 챔버 덮개(101), 챔버 벽체(102) 및 챔버 플레이트(103)를 포함한다. 도 1a 및 도 1b에 도시된 장치는 단면이 대체로 원형인 프로세스 영역을 획정하는 단일의 벽체로서 챔버 벽체(102)를 나타내고 있다. 그러나, 프로세스 영역(104)이 기판들을 프로세싱하기 위한 임의의 적당한 형상일 수 있으며, 프로세스 영역을 획정하는 챔버 벽체(102)가 다수의 분리된 벽체 요소들을 포함할 수 있음을 이해할 것이다. 챔버 덮개(101)는 프로세스 영역(104)의 상부 경계를 형성한다. 챔버 덮개(101)는 프로세스 영역의 세정과 유지 보수를 용이하게 하기 위해 열리거나 제거가능하게 될 수 있다. 도시된 실시예에서, 챔버 덮개(101)는 챔버 벽체(101)로부터 챔버 덮개(101)를 들어올리기 위한 핸들(115)들을 포함한다. 챔버 덮개(101)는 세트 스크류들, 클램프들 등과 같은 임의의 적당한 수단에 의해 제 위치에 유지될 수 있다. 다른 실시예들에서, 챔버 덮개는 힌지(미도시)에 의해 챔버 벽체(101)에 장착될 수 있거나, 챔버 덮개는, 예컨대, 수직 또는 수평 후퇴 메커니즘(미도시)에 의해 챔버 벽체(101)와 이동가능하게 연결될 수 있다. 리프팅 메커니즘(105)은 기판을 상승 및 하강시키며, 개구(106)를 통해 챔버 프로세스 영역(104) 내외로 기판을 이동시키기 위해 사용된다. 슬릿 밸브 인서트(107)는 장치를 다른 챔버에 연결시킬 수 있다. 슬릿 밸브 인서트(107)는, 기판이 장치 내외로 이동할 때, 반응물 가스들이 챔버 프로세스 영역(104)을 떠나지 않도록 방지하기 위한 퍼지 가스 인젝터들을 포함할 수 있다.
또한, 장치는 도 1b에 가장 잘 나타낸 주변 프레임(109)을 포함한다. 주변 프레임(109)은 리프팅 메커니즘(105)과 맞물리며, 상기 리프팅 메커니즘은 프로세스 영역(104)에서 기판을 상승 및 하강시키기 위해 주변 프레임(109)을 상승 및 하강시키는 서보 모터 또는 임의의 다른 적당한 디바이스일 수 있다. 도시된 실시예에서, 리프팅 메커니즘은 주변 프레임(109)의 일부분과 접촉하는 샤프트(117)를 포함한다.
도 1b는 프로세스 영역의 상부 단면도를 도시하고 있다. 챔버 플레이트(103)에는 세라믹 볼(108)들이 부착되어 있다. 세라믹 볼들은 접합, 접착제, 압입 등과 같은 다양한 방법들로 플레이트에 부착될 수 있다. 도시된 실시예에서, 세라믹 볼들은 챔버 플레이트(103)의 홀들에 압입되어 있다. 세라믹 볼(108)들은 프로세스 영역(104) 속으로 로딩되어 챔버 플레이트(103) 상에 로딩된 기판에 대해 오프셋을 제공한다. 이에 따라, 프로세스 영역(104) 속으로 로딩되어 세라믹 볼(108)들 상에 안착되어 있는 기판은 챔버 플레이트(103)와 직접 접촉하게 되지 않는다. 이는 기판의 로딩과 프로세스 영역(104)으로부터의 제거를 용이하게 한다. 전술한 바와 같이, 주변 프레임(109)은 당해 주변 프레임(109)이 기판을 세라믹 볼(108)들 상에 하강시킬 수 있도록 하기 위해 샤프트(117)에 의해 리프팅 메커니즘(105)과 작동가능하게(operably) 맞물리며, 핑거(110)들이 프레임(109)으로부터 내측을 향하여 프레임(109)의 주변에 이격되어 있다. 인젝터(111)는, 기판이 세라믹 볼(108)들 상에 안착되어 있을 때, 기판의 표면을 가로질러 암모니아와 수증기를 분산시킨다.
도시된 실시예에서, 세라믹 볼들은 챔버 프로세스 영역 내에서 웨이퍼 지지체로서 기능한다. 이 웨이퍼 지지체는 프로세스 영역 내에서 기판을 챔버 플레이트 위로 상승시키고, 챔버 프로세스 영역에서 기판은 웨이퍼 지지체 상에 안착된다. 이는 기판의 배면과 챔버 플레이트 간의 직접적인 접촉을 방지한다. 기판과 챔버 플레이트 간의 직접적인 접촉은 챔버 플레이트로부터 기판의 배면 금속 오염을 초래할 수 있다. 특정 실시예에서, 기판과 챔버 플레이트 간의 직접적인 접촉이 이루어지지 않는다. 웨이퍼 지지체가 세라믹 볼들로 한정되지 않음을 이해할 것이다. 다른 실시예들에서, 웨이퍼 지지체는 리프트 핀들, 스탠드오프들, 또는 임의의 다른 적당한 요소를 포함할 수 있다.
따라서, 웨이퍼 지지체는 일반적으로 챔버 플레이트와 기판 간의 접촉을 최소화하는 임의의 구성을 포함할 수 있다. 하나 이상의 실시예들에서, 웨이퍼 지지체는 복수의 세라믹 볼들과 같은 세라믹 지지체를 포함한다. 하나 이상의 실시예들에서, 이 세라믹 볼들은 챔버 플레이트에 내장된다. 기판은 이 볼들의 상부에 안착되며, 아래에 있는 챔버 플레이트와 접촉하지 않는다. 따라서, 기판이 챔버 플레이트의 상부에 직접 놓이지 않고, 기판과 복수의 점접촉들만 이루어진다. 특정 실시예에 따르면, 세라믹 지지체는 실리콘 질화물을 포함한다.
하나 이상의 실시예들에 따르면, 상기 장치는 암모니아와 물이 챔버 벽체 및/또는 챔버 덮개 인근에서 반응하지 않고 웨이퍼 지지체 상의 기판 인근에서 반응하도록, 챔버 벽체 및/또는 챔버 덮개 인근의 온도를 유지하기 위해 가열 시스템(미도시)을 더 포함한다. 특정 실시예들에서, 이 가열 시스템은 반응물들이 벽체(102) 또는 덮개(101)와 반응하지 않도록 하는 것을 돕기 위해 챔버 벽체 및/또는 챔버 덮개를 가열한다. 따라서, 챔버 벽체 및/또는 챔버 덮개는 가열 요소와 인접할 수 있다. 예컨대, 챔버 벽체(102)는 챔버 벽체(102)를 가열하기 위해 그 안에 내장된 저항 가열 요소를 가질 수 있다. 대안적으로, 또는 저항 가열 요소들에 부가하여, 챔버 벽체(102)와 덮개(101)를 가열하기 위해 프로세스 영역(104) 내부 또는 인근에 램프들과 같은 복사 가열 요소들이 제공될 수 있다.
특정 실시예들에서, 챔버 플레이트(103)는 가열 또는 냉각된다. 챔버 플레이트(103)의 온도는 기판의 표면에서 원하는 상대 습도를 달성하기 위해 조정될 수 있다. 특정 실시예에 따르면, 챔버 프로세스 영역(104)의 온도는 약 20℃ 내지 약 60℃의 범위로 유지된다. 하나 이상의 실시예들에서, 기판 표면에서의 온도는 기판의 수산화를 용이하게 하기 위해 약 25℃ 또는 그 이하이다. 따라서, 특정 실시예들에서, 챔버 플레이트 및/또는 웨이퍼 지지체는 수산화되는 기판의 표면에서 국소적인 온도 변화를 유발하기 위해 챔버 플레이트 인근의 온도를 상승 및 하강시키는 열 요소(119)에 인접하여 있다. 열 요소(119)는 임의의 적당한 온도 변환 디바이스일 수 있으며, 챔버에 인접하거나 그 내부의 다양한 위치들에 배치될 수 있다. 열 요소(119)의 적당한 예들은, 이에 한정되는 것은 아니지만, 복사 히터(예컨대, 램프들 및 레이저들)들, 저항 히터들, 액체 제어식 열교환기들 및 냉각 및 가열 플레이트들을 포함한다. 냉각 및 가열 플레이트들을 플레이트를 냉각 또는 가열하기 위해 액체 또는 가스가 흐르는 하나 이상의 유체 채널들을 포함할 수 있다. 특정 실시예에서, 챔버 플레이트는 냉각 요소와 열 접촉하고 있다.
하나 이상의 인젝터(111)들은 암모니아 공급원과 수증기 공급원(미도시)에 연결되도록 구성되어 있다. 암모니아와 물이 동일한 인젝터로부터 분산될 수 있으며, 또는 챔버 프로세스 영역에 도달하기 전에 혼합되는 것을 방지하기 위해 다수의 인젝터들이 사용될 수 있다. 암모니아와 수증기를 분산시키기 위해, 교차 흐름 또는 하향식(top-down) 흐름을 포함하여 임의의 적절한 흐름 구성이 사용될 수 있다. 인젝터(111)는 샤워헤드 또는 배플 플레이트를 포함하여 챔버 프로세스 영역으로 반응물들을 분산시키기 위한 임의의 수단을 포함할 수 있다.
주변 프레임(109)에 커플링된 리프팅 메커니즘(105)은 웨이퍼 지지체로부터 기판을 상승 및 하강시키기 위해 사용되며, 그렇게 하기 위해 임의의 기계적 수단을 활용할 수 있다. 웨이퍼 지지체로부터 기판을 상승 및 하강시킬 뿐만 아니라, 리프팅 메커니즘(105)은 챔버(106)의 개구를 통해 챔버 프로세스 영역(104) 내외로 기판을 운반할 수도 있다. 하나 이상의 실시예들에 따르면, 리프팅 메커니즘(105)은 주변 프레임(109)을 포함하며, 주변 프레임이 기판을 상승 또는 하강시킬 때, 기판은 주변 프레임(109) 상에 안착될 수 있다. 특정 실시예들에서, 주변 프레임(109)은 당해 프레임을 상승 및 하강시키기 위한 모터와 작동가능하게 맞물린다.
특정 실시예들에 따르면, 주변 프레임(109)은 적어도 부분적으로 기판 주변을 둘러싼다. 도시된 실시예에서, 주변 프레임은 원의 일부이다. 도시된 실시예에서, 주변 프레임은 약 270°이지만, 본 발명은 이 구성에 한정되지 않으며, 주변 프레임은 완전한 원, 반원(180°), 또는 반도체 웨이퍼와 같은 기판을 상승 및 하강시키기에 적합한 임의의 다른 구성일 수 있다. 특정 실시예들에서, 주변 프레임(109)은 주변 프레임의 주변에 이격된 복수의 내향 핑거(110)들을 포함한다. 도 1b에 도시된 실시예에는, 3개의 핑거(110)들이 도시되어 있다. 그러나, 더 많거나 더 적은 핑거(110)들이 제공될 수 있다.
하나 이상의 실시예들에서, 리프팅 메커니즘은 기판과 주변 프레임(109) 간의 접촉을 최소화하는 스탠드오프를 포함할 수 있다. 특정 실시예들에서, 챔버 플레이트(103)와 마찬가지로, 스탠드오프는 기판과의 점접촉을 가능하게 하는 주변 프레임(109)의 상면으로부터 돌출된 복수의 세라믹 스탠드오프(121)들을 포함할 수 있다. 특정 실시예에서, 세라믹 스탠드오프(121)들은 복수의 내향 핑거(110)들에 내장된다. 특정 실시예들에서, 세라믹 스탠드오프(121)들은 실리콘 질화물을 포함한다.
상기 장치는 챔버의 측벽에 배치된 전환 밸브(107)를 포함할 수도 있다. 하나 이상의 실시예들에서, 전환 밸브(107)는 슬릿 밸브이다. 슬릿 밸브(107)는 기판이 수산화 챔버 프로세스 영역(104)으로 출입할 수 있는 개구일 수 있다. 슬릿 밸브(107)는 도어(미도시)를 포함할 수 있으며, 이송 챔버 또는 인접한 프로세스 챔버와 같은 다른 챔버에 연결되도록 구성될 수 있다. 하나 이상의 실시예들에 따르면, 슬릿 밸브 인서트는 퍼지 가스 인젝터(미도시)를 포함하며, 상기 퍼지 가스 인젝터는, 슬릿 밸브가 개방 위치에 있을 때 반응물 가스들이 수산화 챔버로부터 유출되어 인접한 챔버로 유입되는 것을 방지하고, 대기가 프로세스 영역(104)으로 유입되는 것을 방지하기 위해 사용된다. 질소를 포함하여 임의의 적당한 불활성 가스가 퍼지 가스로서 사용될 수 있다.
본 발명의 다른 양태는 기판 표면을 수산화하기 위한 시스템에 관한 것이다. 하나 이상의 실시예들에 따르면, 이 시스템은 기판 지지체를 포함한 챔버 본체(100), 암모니아 공급원, 수증기 공급원, 및 도 1a 및 도 1b과 관련하여 전술한 바와 같은 하나 이상의 인젝터들을 포함한다. 특정 실시예들에서, 상기 시스템은 챔버 프로세스 영역의 압력을 제어하는 압력 제어 밸브를 포함할 수도 있다. 상기 시스템은 챔버 본체로의 암모니아와 수증기의 흐름 뿐만 아니라, 챔버 프로세스 영역의 압력을 조절하는 제어 시스템을 더 포함할 수 있다. 상기 제어 시스템은 수산화된 기판 표면을 제공하기 위해 기판의 표면이 수증기와 암모니아에 동시에 노출되도록 반응물들의 압력과 흐름을 조절한다. 하나 이상의 실시예들에서, 상기 시스템은 대기에 수산화된 기판이 노출되지 않도록 제어된 압력하에서 프로세스 영역으로부터 이송 챔버로 기판을 이동시키는 전환 밸브를 더 포함한다.
도 2는 본 발명의 이 양태에 따른 일 실시예를 도시하고 있다. 챔버 본체는 챔버 덮개(201), 챔버 벽체(202) 및 챔버 플레이트(203)를 포함한다. 챔버 덮개(201), 챔버 벽체(202) 및 챔버 플레이트(203)는 기판 표면 상에서 수산화 반응이 발생하는 챔버 프로세스 영역(224)을 획정한다. 리프팅 메커니즘(214)은, 기판이 로봇 블레이드 또는 다른 적당한 이송 메커니즘에 의해 챔버 프로세스 영역으로 출입할 수 있도록, 기판을 상승 및 하강시킨다.
암모니아 공급원(206)에 의해 아민 소오스, 예컨대, 암모니아 가스가 제공되어 암모니아 도관(225)을 통해 프로세스 영역(224)으로 전달되며, 상기 암모니아 도관은 인젝터(221)를 통해 프로세스 영역(224)으로 암모니아를 적당한 유량으로 전달하는 배관 또는 채널과 같은 임의의 적당한 도관일 수 있다. 암모니아 공급원은 암모니아 가스 실린더 또는 암모니아 가스를 생성하는 암모니아 발생 시스템일 수 있다. 챔버로의 암모니아 가스 흐름은 챔버 제어기(204)와 통신할 수 있는 암모니아 유량 제어기(212) 및 암모니아 밸브(209)에 의해 조절된다. 유량 제어기(212)는 질량 유량 또는 체적 유량 제어기일 수 있다. 수증기 공급원(207)에 의해 수산화물 소오스, 예컨대, 수증기가 제공되어 도관(227)을 거쳐 인젝터(221)를 통해 프로세스 영역(224)으로 전달된다. 수증기의 흐름은 질량 유량 또는 체적 유량 제어기일 수 있는 물 유량 제어기(213) 및 물 밸브(210)에 의해 조절된다. 밸브(210)와 유량 제어기(213)는 챔버 제어기(204)와 통신할 수 있다. 도 2에 도시된 바와 같이, 암모니아와 수증기는 별도의 도관(225, 227)들을 통해 개별적으로 챔버에 전달될 수 있다. 그러나, 챔버로 가스들을 도입하기 전에 암모니아와 수증기를 혼합하여 이들을 단일의 도관으로 전달하는 것도 본 발명의 범위 내에 속한다.
배기 시스템(218)을 통해 챔버 본체로부터 반응물들 및/또는 부산물들을 제거하기 위해, 불활성 가스 도관(229)을 통해 퍼지 가스로서 불활성 가스를 제공하는 불활성 가스 공급원(208)이 사용될 수 있다. 또한, 암모니아 공급원 또는 수증기 공급원 중 하나 또는 모두와 불활성 가스를 혼합하여 챔버로 반응물들을 전달하기 위한 캐리어 가스로서 불활성 가스가 사용될 수 있다. 불활성 가스를 캐리어 가스로 사용하는 경우, 불활성 가스 도관은 암모니아 가스 도관(225) 및/또는 수증기 도관(227) 중 하나 또는 모두와 불활성 가스 도관(229)을 연결하는 적당한 인터커넥트(미도시)들을 포함할 것이다. 적당한 인터커넥트들은 챔버 제어기(204)와 통신하는 밸브들 및/또는 유량 제어기(미도시)들을 포함할 것이다. 불활성 가스 밸브(211)는 챔버 본체로의 불활성 가스의 흐름을 조절한다. 챔버로의 불활성 가스의 흐름을 조절하기 위해 유량 제어기(233)가 사용될 수도 있다.
온도 제어기(205)는, 수증기 시스템(207), 챔버 덮개(201) 및 챔버 벽체(202)를 위한 가열 요소들, 또는 챔버 플레이트(203)를 위한 가열 및/또는 냉각 요소들과 같은, 시스템의 다양한 가열 및 냉각 요소들을 제어할 수 있다.
배기 시스템(218)은 챔버 본체로부터 가스를 제거한다. 배기 도관(231)을 통해 챔버에 연결된 배기 라인(217)과 흐름 소통하는 펌프(228)는, 수산화 프로세스가 완료되었을 때, 프로세스 영역(224)으로부터 수산화 프로세스의 과다한 반응물들과 부산물들을 제거한다. 펌프(228)로부터 챔버 본체를 격리시키기 위해 격리 밸브(216)가 사용될 수 있다. 챔버 본체 내의 압력을 조절하여 프로세스 영역(224)에서 원하는 상대 습도를 달성하기 위해 스로틀 밸브(215)가 사용될 수 있다. 이에 따라, 물의 분압을 제어함으로써 프로세스 영역에 원하는 상대 습도를 제공하여 기판을 수산화하기 위해, 압력 및/또는 온도가 조절되거나 변경될 수 있음을 이해할 것이다. 상대 습도는 특정 온도에서 물의 포화 압력에 대한 물의 분압의 백분율을 의미한다. 특정 실시예들에서, 물의 증기압은 기판의 온도에서 포화 증기압의 20%이다. 다른 특정 실시예들에서, 물의 포화 증기압은 기판의 온도에서 포화 증기압의 40%, 60% 또는 80%이다.
챔버 본체, 인젝터들, 웨이퍼 지지체 및 리프팅 메커니즘은 수산화 장치에 대해 전술한 임의의 특징들을 가질 수 있다.
전술한 바와 같이, 암모니아와 물은 반응하여 부식성 환경인 수산화암모늄을 형성한다. 따라서, 특정 실시예들에 따르면, 수산화암모늄에 노출되는 구성 요소들은 열화에 대해 저항하는 물질들로 구성되어야 한다. 이러한 물질들은, 이에 한정되는 것은 아니지만, 스테인리스 스틸, 석영 및 폴리테트라플루오로에틸렌을 포함한다.
수증기 공급원은 수산화에 사용되는 수증기를 제공하며, 기판 표면 상에서의 수산화 반응에 영향을 미치기에 적합한 챔버 프로세스 영역으로 수증기를 전달할 수 있는 임의의 시스템을 포함할 수 있다. 수증기는 수증기 발생 시스템에 의해 생성되거나, 다른 소오스에서 생성되어 시스템에 제공될 수 있다. 특정 실시예들에 따르면, 수증기는 기포가 발생되거나 증기가 도입되는 물 앰플에 의해 생산된다. 따라서, 특정 실시예들에서, 상기 수증기 공급원은 액체수 소오스와, 수증기를 형성하도록 물을 통해 가스 기포를 발생시키기 위해 상기 액체수 소오스에 연결된 가스 소오스를 포함한다.
대안적으로, 수증기는 물을 분무하거나 또는 증발시킴으로써 생성될 수 있다. 특정 실시예들에서, 상기 시스템은 물을 보관하는 컨테이너와, 벤츄리 효과에 의존하는 네블라이저 또는 노즐과 같은 물 분무기를 포함한다. 다른 실시예들에서, 수증기 공급원은 액체수 소오스와, 챔버 제어기(204)와 통신하며 펠티어 제어기에 의해 제어되는 하나 이상의 펠티어 디바이스들과 같은 가열 요소를 포함한다. 또 다른 실시예에서, 수증기는 수소 및 산소 가스들을 이용하는 유닛에 의해 발생될 수 있다.
하나 이상의 실시예들에서, 암모니아 유량 제어기(212), 수증기 유량 제어기(213), 온도 제어기(205) 및 펠티어 제어기와 같은 시스템의 다양한 요소들은 시스템의 I/O 제어를 제공하는 챔버 제어기(204)에 의해 제어된다. 따라서, 챔버 제어기(204)는 CPU(234), 메모리(235) 및 다양한 제어기들과 유선 또는 무선으로 통신하는 I/O(236)를 포함할 수 있다. CPU(234)는 인젝터(221)로의 암모니아와 수증기의 흐름을 제어하기 위해 암모니아 유량 제어기(212)와 수증기 제어기(213)에 대해 신호를 송수신한다. 또한, CPU(234)는, 스로틀 밸브(215)가 시스템의 압력 제어 밸브로서 작동하도록, 챔버 프로세스 영역의 압력을 제어하기 위해 스로틀 밸브(215)에 대해 신호를 송수신한다. 또한, CPU(234)는 챔버로부터 배기 가스의 흐름을 더 제어하기 위해 격리 밸브(216) 및 펌프(228)와 통신할 수 있다.
상기 CPU는 다양한 챔버들과 서브-프로세서들을 제어하기 위해 산업 환경에서 사용될 수 있는 임의의 형태의 컴퓨터 프로세서 중 하나일 수 있다. 따라서, 상기 CPU는 메모리(235)에 커플링될 수 있으며, 상기 메모리는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플래시 메모리, 컴팩트 디스크, 플로피 디스크, 하드 디스크 또는 임의의 다른 형태의 로컬 또는 원격의 디지털 저장소와 같이 용이하게 구입할 수 있는 메모리 중 하나 이상일 수 있다. 통상의 방식으로 CPU를 지원하기 위해 CPU에 지원 회로(미도시)들이 커플링될 수 있다. 이 회로들은 캐시, 전력공급장치들, 클록 회로들, 입출력 회로, 서브시스템들 등을 포함한다. CPU(234)와 메모리(235)는 시스템의 다양한 제어기들과 통신하기 위해 적당한 I/O 회로(236)에 커플링된다.
상기 제어 시스템은 일련의 기계-실행가능한 지령들을 가진 컴퓨터-판독가능한 매체를 더 포함할 수 있다. 이 지령들은, CPU에 의해 실행되었을 때, 상기 시스템이 전술한 임의의 방법들을 실시하도록 할 수 있다. 일 실시예에서, 상기 지령들은 기판의 표면을 수증기와 암모니아에 동시에 노출하여 수산화된 기판을 제공하는 단계를 포함하는 방법과 관련된다. 다른 실시예에서, 상기 지령들은 기판의 표면을 수증기와 암모니아에 동시에 노출하여 수산화된 기판을 제공하는 단계; 수산화 챔버로부터 이송 챔버로 수산화된 기판을 이동시키는 단계; 이송 챔버로부터 증착 챔버로 수산화된 기판을 이동시키는 단계; 및 수산화된 기판에 박막을 증착하는 단계를 포함하는 방법과 관련된다.
상기 수산화 시스템은 수산화 챔버 이외에 다른 챔버들을 더 포함할 수 있다. 이 챔버들은 이송 챔버들과, 증착 챔버들 및 에칭 챔버들과 같은 추가적인 프로세싱 챔버들을 포함할 수 있다. 이 챔버들은 "클러스터 툴 시스템" 내에서 상호접속될 수 있다.
일반적으로, 클러스터 툴은 기판 중심 검색 및 배향, 탈기, 어닐링, 증착 및/또는 에칭을 포함하는 다양한 기능들을 실시하는 다수의 챔버들을 포함하는 모듈형 시스템이다. 본 발명의 일 실시예에 따르면, 상기 클러스터 툴은 적어도 본 발명의 수산화 프로세스들을 실시하도록 구성된 수산화 챔버를 포함한다. 클러스터 툴의 다수의 챔버들은 중앙 이송 챔버에 장착되며, 상기 중앙 이송 챔버는 챔버들 사이에서 기판들을 실어나르도록 구성된 로봇을 수용하고 있다. 이송 챔버는 일반적으로 진공 상태로 유지되며, 하나의 챔버로부터 다른 챔버 및/또는 클러스터 툴의 전방 단부에 배치된 로드 락 챔버로 기판들을 실어나르기 위한 중간 스테이지를 제공한다. 본 발명에 채용될 수 있는 2개의 공지된 클러스터 툴들은 Centura® 및 ENDURA®이며, 이들은 모두 캘리포니아주 산타 클라라에 소재한 어플라이드 머티어리얼스 인코포레이티드로부터 입수할 수 있다. 이러한 단계적 기판 프로세싱 시스템 중 하나의 세부 사항이 "단계적 진공 웨이퍼 프로세싱 시스템 및 방법"이란 명칭으로 1993년 2월 16일자에 테프만 등에 허여된 미국 특허 번호 제 5,186,718 호에 개시되어 있다. 그러나, 본 명세서에 기술된 바와 같은 프로세스의 특정 단계들을 실시하기 위한 목적으로, 챔버들의 정확한 배열과 조합은 변경될 수 있다.
도 3은 본 발명의 일 양태와 함께 사용될 수 있는 클러스터 툴 또는 멀티- 챔버 프로세싱 시스템(310)의 예를 도시하고 있다. 프로세싱 시스템(310)은 시스템(310) 내외로 기판들을 이송하기 위한 하나 이상의 로드 락 챔버(312, 314)들을 포함할 수 있다. 시스템(310)이 진공하에 있기 때문에, 로드 락 챔버(312, 314)들은 시스템(310)으로 도입되는 기판들을 "펌핑 다운"할 수 있다. 제 1 로봇(320)은 기판들을 로드 락 챔버(312, 314)들과 제 1 세트의 하나 이상의 기판 프로세싱 챔버(332, 334, 336, 338)들 사이에서 이송할 수 있다. 각각의 프로세싱 챔버(332, 334, 336, 338)들은 다수의 기판 프로세싱 작업들을 실시하도록 구성될 수 있다. 예컨대, 프로세싱 챔버(332)는 에칭 프로세스를 실시하도록 설계된 에칭 프로세서일 수 있으며, 프로세싱 챔버(334)는 ALD 또는 CVD 또는 급속 열 프로세싱(RTP)을 실시하기 위한 증착 반응 챔버, 또는 기판 상에 열 산화물 층을 형성하도록 설계된 RadOx® 챔버일 수 있다. 프로세싱 챔버(336, 338)들은, 예컨대, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 전세정, 화학 세정, RTP와 같은 열처리, 플라즈마 질화, 탈기, 배향, 수산화 및 다른 기판 프로세스들을 더 제공하도록 구성될 수도 있다.
제 1 로봇(320)은 기판들을 하나 이상의 이송 챔버(342, 344)들 내외로 이송할 수 있다. 이송 챔버(342, 344)들은 진공 상태들을 유지하면서도 기판들이 시스템(310) 내부에서 이송될 수 있도록 하기 위해 사용될 수 있다. 제 2 로봇(350)은 이송 챔버(342, 344)들과, 제 2 세트의 하나 이상의 프로세싱 챔버(362, 364, 366, 368)들 사이로 기판들을 이송할 수 있다. 프로세싱 챔버(332, 334, 336, 338)들과 마찬가지로, 프로세싱 챔버(362, 364, 366, 368)들도 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에피택셜 증착, 에칭, 전세정, 화학 세정, RTP/RadOx®와 같은 열처리, 플라즈마 질화, 탈기, 배향 이외에, 에칭 프로세스들을 포함하여, 다양한 기판 프로세싱 작업들을 실시하도록 구성될 수 있다. 기판 프로세싱 챔버(332, 334, 336, 338, 362, 364, 366, 368)들 중 일부는, 필요하지 않으면, 시스템(310)으로부터 제거될 수 있다.
클러스터 툴의 챔버 내에서 이 프로세스를 실시함으로써, 대기 불순물들에 의한 기판의 표면 오염을 회피함과 동시에, 습식 화학 처리로부터 핵 형성이 증가하는 장점들을 유지할 수 있다.
캘리포니아주 산타 클라라에 소재한 어플라이드 머티어리얼스 인코포레이티드는 CMOS 트랜지스터 게이트들을 위해 얇은 이산화실리콘 층들을 형성하는 RadOx®라고 하는 프로세스를 포함하는 기판 프로세싱 챔버를 제공한다. RadOx® 프로세스는 램프들로 기판을 가열하고, 수소와 산소를 프로세스 챔버로 주입한다. 이 가스들은 기판의 표면에 충돌할 때 라디칼들을 형성한다. 라디칼들은 중성종들보다 더 반응성이 있으며, 인시츄 스팀 발생(ISSG) 산화물 성장으로 알려진 스팀 프로세스들에 의해 이용가능한 것보다 더 빠른 층 성장 속도를 제공한다.
적당한 에칭 또는 세정 챔버들은 습식 또는 건식 에칭, 반응 이온 에칭(RIE) 등에 맞도록 구성될 수 있다. 예시적인 에칭 챔버들은 역시 캘리포니아주 산타 클라라에 소재한 어플라이드 머티어리얼스 인코포레이티드로부터 입수할 수 있는 SICONITM Producer®, 또는 CarinaTM 챔버들을 포함한다. 하나의 비제한적이며 예시적인 건식 에칭 프로세스는 암모니아 또는 (NH3) 또는 삼불화질소(NF3) 가스, 또는 원격 플라즈마와 무수 불화수소(HF) 가스 혼합물을 포함할 수 있으며, 이들은 저온(예컨대, 약 30℃)들에서 SiO2상에 응축되고 반응하여, 중간 온도(예컨대, 100℃ 초과)에서 승화되어 SiO2를 에칭할 수 있는 화합물을 형성한다. 이러한 예시적인 에칭 프로세스는 시간이 지남에 따라 약화되며, 결국에는 (예컨대, 전술한 승화 프로세스에 의해) 화합물의 일부가 제거되지 않으면 더 이상 에칭이 발생하지 않는 지점까지 포화된다. 에칭 프로세스는 상기 메커니즘을 이용하여 및/또는 시기적절한 에칭 프로세스(예컨대, 미리 정해진 기간 동안의 에칭)에 의해 제어될 수 있다. 예시적인 습식 에칭 프로세스들은 불화수소(HF) 등을 포함할 수 있다. 예시적인 플라즈마 또는 원격 플라즈마 에칭 프로세스들은 사불화탄소(CF4), 트리플루오로메탄(CHF3), 육불화황(SF6), 수소(H2) 등과 같은 하나 이상의 에칭제들을 포함할 수 있으며, 가열 척에 의해 또는 가열 척 없이 실시될 수 있다.
특정 실시예들에서, 예컨대, 로봇(320)이 로드 락 챔버(312, 314)들 중 하나로부터 건식 에칭 또는 세정 챔버, 예컨대, SICONITM 챔버로 기판을 이동시키는 제 1 단계를 포함하는 프로세스가 실시된다. 건식 에칭 또는 세정 프로세스 후, 기판이 제 2 단계에서 로드 락 챔버(312, 314)로 이동하거나, 열처리를 위해 급속 열 프로세싱 챔버 또는 RadOx® 챔버로 직접 이송될 수 있다. 그 후, 제 3 단계에서, 로봇(320)이 기판을 로드 락 챔버(312, 314)들 중 하나로 이동시키거나, 수산화 챔버로 직접 이동시킬 수 있다. 대안적으로, 제 3 단계에서, 기판이 RTP 또는 RadOx® 챔버 이후에 건식 세정 또는 에칭 챔버로 이동하거나, 중간-k 유전체를 형성하기 위해 증착 챔버로 이동할 수 있다. 제 3 단계에서 수산화 챔버 또는 RTP/RadOx® 챔버에서 프로세싱하거나 중간-k 유전체를 증착한 후, 제 4 단계에서 중간-k 유전체 또는 하이-k 유전체를 증착할 수 있다. 제 5 단계에서는 하이-k 유전체를 증착하거나, 제 4 단계에서 형성된 하이-k 유전체를 플라즈마 질화하거나, RTP 또는 수산화를 포함할 수 있다. 제 6 및 제 7 단계들에서는 RTP/RadOx®에서의 프로세싱 및 플라즈마 질화, 또는 중간-k 유전체 또는 하이-k 유전체와 같은 추가적인 유전체 층들의 형성을 포함할 수 있다.
클러스터 툴에서 실시되는 프로세스의 특정 실시예에서, 제 1 단계는 건식 에칭/세정을 포함하고, 제 2 단계는 RTP 챔버에서의 프로세싱을 포함하며, 제 3 단계는 건식 에칭/세정 챔버에서의 프로세싱을 포함하고, 제 4 단계는 본 명세서에 개시된 바와 같은 수산화 챔버에서의 프로세싱을 포함하며, 제 5 단계는 하이-k 유전체의 증착을 포함한다.
적당한 하이-k 유전체 물질들의 예들에는 하프늄 산화물, 란타늄 산화물, 란타늄 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 티타늄 산화물, 탄탈륨 산화물, 이트륨 산화물 및 알루미늄 산화물을 포함한다. 실리콘 및/또는 게르마늄과 같은 원소들로 하이-k 유전체들을 도핑함으로써, 중간-k 유전체들이 제공될 수 있다.
제어기(353)는 다양한 서브프로세서들 및 서브컨트롤러들을 제어하기 위해 산업 환경에서 사용될 수 있는 임의의 형태의 범용 데이터 프로세싱 시스템 중 하나일 수 있다. 일반적으로, 제어기(353)는 다른 일반적인 구성 요소들 중 메모리(355) 및 입출력 회로(356)와 통신하는 중앙처리장치(CPU)(354)를 포함한다.
본 명세서 전반에 걸쳐 "하나의 실시예", "특정 실시예들", "하나 이상의 실시예들" 또는 "일 실시예"에 대한 언급은 해당 실시예와 관련하여 설명한 특수한 특징, 구조, 물질 또는 특성이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반의 다양한 개소에서 "하나 이상의 실시예들에서", "특정 실시예들에서", "하나의 실시예에서" 또는 "일 실시예에서"와 같은 문구의 출현은 발명의 동일한 실시예를 반드시 지칭하는 것은 아니다. 또한, 하나 이상의 실시예들에서, 특수한 특징들, 구조들, 물질들 또는 특성들이 임의의 적당한 방식으로 조합될 수 있다. 상기 방법의 설명 순서가 한정적인 것으로 간주되지 않아야 하며, 방법들은 설명한 작업들을 순서를 뒤바꾸거나, 생략하거나, 추가하여 이용할 수 있다.
이상의 설명은 예시를 위한 것이며 제한하고자 하는 것이 아님을 이해하여야 한다. 상술한 설명을 검토하면, 많은 다른 실시예들이 당업자들에게는 명백할 것이다. 따라서, 본 발명의 범위는 첨부된 특허청구범위를 참조하여, 그리고 특허청구범위와 동등한 자격이 있는 등가물들의 전체 범위와 함께, 결정되어야 한다.

Claims (15)

  1. 기판 표면을 수산화(hydroxylate)하기 위한 시스템으로서,
    챔버 프로세스 영역을 획정(define)하는, 챔버 벽체, 챔버 플레이트 및 챔버 덮개를 가진 챔버 본체;
    웨이퍼 지지체;
    상기 챔버 프로세스 영역으로 아민 및 수산화물을 전달하는 하나 또는 둘 이상의 인젝터들;
    상기 챔버 프로세스 영역에서의 압력을 제어하는 압력 제어 밸브;
    아민 유량 제어기, 수산화물 유량 제어기, 및 수산화물의 분압을 변경하는 제어기를 포함하는 제어 시스템 ― 상기 아민 유량 제어기 및 상기 수산화물 유량 제어기는 기판의 표면을 수산화물 및 아민에 동시에 노출시켜서, 수산화된 기판 표면을 제공하기 위해, 챔버 프로세스 영역으로의 아민 및 수산화물의 흐름을 제어함 ―; 및
    제어된 압력 하에서 이송 챔버로의 기판의 이동을 허용하고 수산화된 기판의 주변 공기(ambient air)에 대한 노출을 방지하는, 상기 프로세스 영역과 이송 챔버 사이의 챔버 본체 상의 전환 밸브
    를 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  2. 제 1 항에 있어서,
    상기 아민은 암모니아, 피리딘, 하이드라진, 알킬 아민들 및 아릴 아민들로부터 선택되는,
    기판 표면을 수산화하기 위한 시스템.
  3. 제 1 항에 있어서,
    상기 수산화물은 수증기를 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  4. 제 1 항에 있어서,
    상기 챔버 본체, 웨이퍼 지지체 및 하나 또는 둘 이상의 인젝터들은 스테인리스 스틸, 석영 및 폴리테트라플루오로에틸렌 중 하나 또는 둘 이상으로부터 선택된 수산화암모늄에 의한 열화에 대해 저항하는 물질들을 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  5. 제 4 항에 있어서,
    격리 밸브, 스로틀 밸브 및 펌프를 포함하는 배기 시스템을 더 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  6. 제 1 항에 있어서,
    상기 챔버 프로세스 영역으로 퍼지 가스를 전달하는 퍼지 가스 공급원에 연결된 퍼지 가스 인젝터를 포함하는 퍼지 가스 시스템을 더 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  7. 제 1 항에 있어서,
    온도 제어기를 더 포함하며, 상기 온도 제어기는, 아민 및 수산화물이 챔버 덮개 및 챔버 벽체 인근에서 반응하지 않고 아민 및 수산화물이 웨이퍼 지지체 상의 기판 인근에서 반응하도록, 챔버 덮개 및 챔버 벽체 인근의 온도를 유지하는 가열 시스템과 소통하는,
    기판 표면을 수산화하기 위한 시스템.
  8. 제 7 항에 있어서,
    상기 가열 시스템은, 챔버 덮개 및 챔버 벽체 인근의 온도를 상승시키는, 챔버 덮개 및 챔버 벽체 인근의 가열 요소, 및 챔버 플레이트 인근의 온도를 상승 및 하강시키는 열 요소를 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  9. 제 1 항에 있어서,
    상기 시스템은 웨어퍼 지지체 상으로 기판을 하강시키고 웨이퍼 지지체로부터 기판을 상승시키는, 프로세스 챔버 내부에 배치된 리프팅 메커니즘을 더 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  10. 기판 표면을 수산화하기 위한 시스템으로서,
    챔버 프로세스 영역을 획정하는, 챔버 벽체, 챔버 플레이트 및 챔버 덮개를 가진 챔버 본체;
    웨이퍼 지지체;
    상기 챔버 프로세스 영역으로 아민 및 수산화물을 전달하는 하나 또는 둘 이상의 인젝터들;
    상기 챔버 프로세스 영역에서의 압력을 제어하는 압력 제어 밸브;
    제어된 압력 하에서 이송 챔버로의 기판의 이동을 허용하는, 상기 프로세스 영역과 이송 챔버 사이의 챔버 본체 상의 전환 밸브; 및
    아민 유량 제어기, 수산화물 유량 제어기, 및 상기 압력 제어 밸브, 아민 유량 제어기 및 수산화물 제어기에 대해 신호들을 송수신하여, 상기 챔버 프로세스 영역으로의 아민 및 수산화물의 흐름을 제어하고, 상기 챔버 프로세스 영역에서 수산화물의 분압을 제어하는 CPU를 포함하는 제어 시스템을 포함하며,
    상기 제어 시스템은, CPU에 의해 실행되었을 때, 상기 시스템이 기판의 표면을 수산화물 및 아민에 동시에 노출시켜서, 수산화된 기판을 제공하는 단계를 포함하는 방법을 실시하게 하는 머신-실행가능한 명령들의 세트가 저장된 비일시적 컴퓨터-판독가능한 매체
    를 더 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  11. 제 10 항에 있어서,
    상기 아민은 암모니아, 피리딘, 하이드라진, 알킬 아민들 및 아릴 아민들로부터 선택되는,
    기판 표면을 수산화하기 위한 시스템.
  12. 제 10 항에 있어서,
    상기 수산화물은 수증기를 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  13. 제 10 항에 있어서,
    상기 시스템은 이송 챔버, 및 로드 락 조건들 하에서 이송 챔버와 소통하는 증착 챔버를 더 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  14. 제 13 항에 있어서,
    상기 제어 시스템은,
    CPU에 의해 실행되었을 때, 상기 시스템이 방법을 실시하게 하는 머신-실행가능한 명령들의 세트가 저장된 비일시적 컴퓨터-판독가능한 매체를 더 포함하며,
    상기 방법은,
    기판의 표면을 수산화물 및 아민에 동시에 노출시켜서, 수산화된 기판을 제공하는 단계;
    수산화 챔버로부터 이송 챔버로 수산화된 기판을 이동시키는 단계;
    이송 챔버로부터 증착 챔버로 수산화된 기판을 이동시키는 단계; 및
    수산화된 기판 상에 막을 증착하는 단계
    를 포함하는,
    기판 표면을 수산화하기 위한 시스템.
  15. 기판을 프로세싱하기 위한 시스템으로서,
    열 산화물 형성 챔버;
    제 1 항에 기재된 시스템;
    이송 챔버; 및
    수산화된 기판 상에 하이-k 유전체 막을 증착하는 증착 챔버
    를 포함하는,
    기판을 프로세싱하기 위한 시스템.
KR1020137023334A 2011-02-04 2012-02-03 인시츄 수산화 시스템 KR20140050580A (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201161439686P 2011-02-04 2011-02-04
US61/439,686 2011-02-04
US13/192,041 2011-07-27
US13/192,041 US8778816B2 (en) 2011-02-04 2011-07-27 In situ vapor phase surface activation of SiO2
US201161543614P 2011-10-05 2011-10-05
US61/543,614 2011-10-05
US13/364,806 US20120201959A1 (en) 2011-02-04 2012-02-02 In-Situ Hydroxylation System
US13/364,806 2012-02-02
PCT/US2012/023797 WO2012106612A2 (en) 2011-02-04 2012-02-03 In-situ hydroxylation system

Publications (1)

Publication Number Publication Date
KR20140050580A true KR20140050580A (ko) 2014-04-29

Family

ID=46600792

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137023334A KR20140050580A (ko) 2011-02-04 2012-02-03 인시츄 수산화 시스템

Country Status (5)

Country Link
US (1) US20120201959A1 (ko)
JP (1) JP2014510397A (ko)
KR (1) KR20140050580A (ko)
CN (1) CN103443905A (ko)
WO (1) WO2012106612A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210049975A (ko) * 2016-11-11 2021-05-06 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 구조들을 위한 제거 방법들

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
TWM610611U (zh) * 2011-10-05 2021-04-21 美商應用材料股份有限公司 羥化基材表面的裝置
WO2015035066A1 (en) * 2013-09-04 2015-03-12 President And Fellows Of Harvard College Growing films via sequential liquid/vapor phases
CN105917266A (zh) * 2013-11-14 2016-08-31 瑞士艾发科技 用于防指纹涂层的退火的设备和过程
CN113936994A (zh) * 2015-05-01 2022-01-14 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US11289579B2 (en) * 2019-09-29 2022-03-29 Applied Materials, Inc. P-type dipole for p-FET

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
KR101090895B1 (ko) * 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7964441B2 (en) * 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210049975A (ko) * 2016-11-11 2021-05-06 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 구조들을 위한 제거 방법들

Also Published As

Publication number Publication date
WO2012106612A2 (en) 2012-08-09
WO2012106612A3 (en) 2012-10-11
US20120201959A1 (en) 2012-08-09
JP2014510397A (ja) 2014-04-24
CN103443905A (zh) 2013-12-11

Similar Documents

Publication Publication Date Title
KR20140050580A (ko) 인시츄 수산화 시스템
KR101002445B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물 및 옥시나이트라이드 증착 방법
JP7242837B2 (ja) 選択的な酸化アルミニウム膜の堆積
US20130115778A1 (en) Dry Etch Processes
CN110581067A (zh) 蚀刻方法及蚀刻装置
US20080268154A1 (en) Methods for depositing a high-k dielectric material using chemical vapor deposition process
JP3742641B2 (ja) ハフニウム化合物を利用した薄膜蒸着方法
WO2018160493A1 (en) Selective etch of metal nitride films
KR102649084B1 (ko) 알루미늄 함유 막들을 이용한 갭충전
TW202247469A (zh) 以偶極膜工程化的mosfet閘極
KR101970378B1 (ko) 인-시튜 수산화 장치
US6982214B2 (en) Method of forming a controlled and uniform lightly phosphorous doped silicon film
US9093264B2 (en) Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
US20220199401A1 (en) Deposition of boron films
US20220127717A1 (en) Selective Deposition Of A Heterocyclic Passivation Film On A Metal Surface
WO2022256410A1 (en) Methods of lowering deposition rate
EP4284959A1 (en) High throughput deposition process
CN116918070A (zh) 具有偶极膜的mosfet栅极工程
TW201237957A (en) In-situ hydroxylation system

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid