JP2014510397A - インシトゥ水酸化システム - Google Patents

インシトゥ水酸化システム Download PDF

Info

Publication number
JP2014510397A
JP2014510397A JP2013552683A JP2013552683A JP2014510397A JP 2014510397 A JP2014510397 A JP 2014510397A JP 2013552683 A JP2013552683 A JP 2013552683A JP 2013552683 A JP2013552683 A JP 2013552683A JP 2014510397 A JP2014510397 A JP 2014510397A
Authority
JP
Japan
Prior art keywords
chamber
substrate
hydroxide
amine
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013552683A
Other languages
English (en)
Inventor
ケンリック チョイ,
タツヤ イー. サトウ,
エルネスト ウヨア,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/192,041 external-priority patent/US8778816B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014510397A publication Critical patent/JP2014510397A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Preparation Of Compounds By Using Micro-Organisms (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

アンモニアおよび水蒸気を使用する基板表面水酸化のためのシステムおよび方法が説明される。

Description

本発明の実施形態は、一般に、基板の表面に水酸基を生成するためのシステムおよび方法に関する。
基板表面への薄膜の堆積は、半導体処理、拡散バリアコーティング、および磁気読取/書込ヘッド用誘電体を含む種々の産業部門における重要なプロセスである。半導体産業において小型化は、高アスペクト構造体上に共形コーティングを生成するために、薄膜堆積の原子レベル制御を伴い得る。原子層制御および共形堆積による薄膜堆積の一方法は原子層堆積(ALD)であり、オングストロームまたは単分子層レベルで制御された高精度の厚さの層を形成するために、連続した自己制限表面反応を用いる。ほとんどのALDプロセスは、2元化合物膜を堆積する2元反応系列に基づく。2つの表面反応のそれぞれは連続して起こり、これらは自己制限するので、薄膜を原子レベル制御により堆積することができる。各表面反応が連続しているので2つの気相反応物は接触せず、微粒子を形成および堆積することもある起こりうる気相反応が制限される。表面反応の自己制限性によりまた、どの反応サイクル中でも反応を完了させることができ、その結果として、連続したピンホールのない膜が得られる。
ALDは、金属および金属化合物を基板表面に堆積するために使用されている。Al堆積は、ALDの連続した自己制限反応特性を表す典型的なALDプロセスの一例である。AIのALDでは従来、トリメチルアルミニウム(TMA、しばしば反応「A」または「A」前駆体と呼ばれる)およびHO(しばしば「B」反応または「B」前駆体と呼ばれる)を使用する。2元反応の段階Aでは、水酸基表面種が気相TMAと反応して、表面結合AlOAl(CHおよび気相のCHが生成される。この反応は、表面における反応性部位の数によって自己制限される。2元反応の段階Bでは、表面結合化合物のAlCHが気相のHOと反応して、表面に結合したAlOH、および気相のCHが生成される。この反応は、表面結合AlOAl(CH上の有効反応性部位が有限個数であることによって自己制限される。後続のAとBの各サイクル、パージ気相反応生成物、ならびに反応の間および反応サイクルの間の非反応気相前駆体により、本質的に直線的なAl成長がもたらされて所望の膜厚が得られる。
しかし、多くのALD反応では、ALD前駆体が基板表面と反応するための反応性「ハンドル」が存在する必要がある。このような反応性を付加する1つの手法は、基板表面にOH(水酸)基を付加することによる。これまでに知られている水酸化の1つの方法には、液体アンモニアおよび水を入れた槽に基板を浸漬することを伴った。このプロセスでは、−OHが豊富な界面層表面が作られるが、膜を形成するために槽からプロセスチャンバまでウエハを移すときにウエハが大気に曝されるという不都合がある。例えば酸化ハフニウムである高k誘電体膜などの膜の中には、空気に曝すことにより、その誘電体膜を内蔵するデバイスのヒステリシスが劣化するものがある。アンモニアと水を混合することにより、腐食性で多くの金属を劣化させる強い塩基である水酸化アンモニアが形成される。それゆえに、アンモニアと水を混合することを伴うプロセスは、金属化合物の劣化が予想されるのでプロセスチャンバ内では実施されなかった。
したがって、基板表面の水酸化に利用可能なプロセスを改善する方法を提供する必要がある。
本発明の一態様では、基板表面を水酸化するシステムが提供される。この態様の1つまたは複数の実施形態では、システムは、チャンバプロセス領域を画定するチャンバ壁、チャンバ板およびチャンバリッドを有するチャンバ本体と、ウエハ支持体と、チャンバプロセス領域までアミンおよび水酸化物を送り出す1つまたは複数の注入器と、チャンバプロセス領域内の圧力を制御する圧力制御バルブと、アミン流量コントローラ、水酸化物流量コントローラ、および水酸化物の分圧を修正するコントローラを含む制御システムであって、アミン流量コントローラおよび水酸化物流量コントローラが、基板の表面を水酸化物とアミンに同時に曝して水酸化基板表面を形成するように、チャンバプロセス領域内に入るアミンおよび水酸化物の流量を制御する、制御システムと、制御された圧力下で基板を移送チャンバまで移動することを可能にし、水酸化基板が外気に曝されることを防止する、プロセス領域と移送チャンバの間でチャンバ本体の上の移送バルブとを備える。
1つまたは複数の実施形態によれば、アミンは、アンモニア、ピリジン、ヒドラジン、アルキルアミンおよびアリールアミンから選択される。一部の実施形態では、水酸化物は水蒸気を含む。
1つまたは複数の実施形態によれば、チャンバ本体、ウエハ支持体および1つまたは複数の注入器は、ステンレス鋼、石英およびポリテトラフルオロエチレンのうちの1つまたは複数から選択された、水酸化アンモニウムによって劣化しにくい材料を含む。
1つまたは複数の実施形態では、システムはさらに、チャンバプロセス領域からガスを除去する排気システムを備える。いくつかの実施形態では、排気システムは、分離バルブ、絞りバルブおよびポンプを備える。
1つまたは複数の実施形態では、システムがさらに、パージガス供給源に接続されたパージガス注入器を備えているパージガスシステムを備えることが提供され、このパージガス供給源は、パージガスをチャンバプロセス領域まで送り出す。
1つまたは複数の実施形態では、水蒸気供給源は液体水源および加熱要素を備える。別の実施形態では、水蒸気は、液体水を加熱して蒸気を作ることによって発生させる。代替実施形態では、液体水供給源と、水中に気体を泡立たせて水蒸気を作るために水供給源に接続されたガス供給源とを備える水蒸気供給源が提供される。別の実施形態では、水蒸気供給源は液体水およびアトマイザを備える。
1つまたは複数の実施形態によれば、システムはさらに温度コントローラを備える。1つまたは複数の実施形態では、温度コントローラは、アミンと水酸化物がチャンバリッドおよびチャンバ壁の付近では反応せず、アミンと水酸化物がウエハ支持体上の基板の付近では反応するように、加熱システムと通信してチャンバリッドおよびチャンバ壁の付近の温度を維持する。いくつかの実施形態では、加熱システムは、チャンバリッドおよびチャンバ壁の付近の温度を上昇させるための、チャンバリッドおよびチャンバ壁に隣接した加熱要素と、チャンバ板の付近の温度を上昇および降下させるための熱要素とを備える。
1つまたは複数の実施形態では、システムはさらに、基板をウエハ支持体の上に降ろし、かつウエハ支持体から上昇させるための、プロセスチャンバ内に置かれた持ち上げ機構を備える。いくつかの実施形態によれば、この持ち上げ機構は、フレームを昇降させるためのモータと係合された周辺フレームを備える。
本発明の別の態様は、基板表面を水酸化するシステムに関係し、このシステムは、チャンバプロセス領域を画定するチャンバ壁、チャンバ板およびチャンバリッドを有するチャンバ本体と、ウエハ支持体と、チャンバプロセス領域までアミンおよび水酸化物を送り出す1つまたは複数の注入器と、チャンバプロセス領域の圧力を制御する圧力制御バルブと、制御された圧力下で基板を移送チャンバまで移動することを可能にする、プロセス領域と移送チャンバ間のチャンバ本体の上の移送バルブと、アミン流量コントローラ、水酸化物流量コントローラおよびCPUを備える制御システムであって、このCPUが、圧力制御バルブとアミン流量コントローラと水酸化物流量コントローラへ信号を送受信して、チャンバプロセス領域内に入るアミンおよび水酸化物の流量を制御し、かつチャンバプロセス領域内水酸化物の分圧を制御し、制御システムがさらに、機械実行可能命令のセットが記憶された持続性コンピュータ可読媒体を備え、この機械実行可能命令のセットは、CPUによって実行されたときにシステムに、基板の表面を水酸化物とアミンに同時に曝して水酸化基板を形成することを含む方法を実施させる、制御システムとを備える。
この態様の1つまたは複数の実施形態によれば、アミンは、アンモニア、ピリジン、ヒドラジン、アルキルアミンおよびアリールアミンから選択される。一部の実施形態では、水酸化物は水蒸気である。
この態様の1つまたは複数の実施形態では、システムはさらに、移送チャンバと、ロードロック状態下で移送チャンバと連通する堆積チャンバとを備える。さらなる実施形態では、制御システムはさらに、機械実行可能命令のセットが記憶された持続性コンピュータ可読媒体を備え、この機械実行可能命令のセットは、CPUによって実行されたときにシステムに、基板の表面を水酸化物およびアミンに同時に曝して水酸化基板を形成すること、水酸化基板を水酸化チャンバから移送チャンバまで移動すること、水酸化基板を移送チャンバから堆積チャンバまで移動すること、ならびに水酸化基板上に膜を堆積することを含む方法を実施させる。
本発明のさらに別の態様では、水酸化チャンバ、移送チャンバおよび堆積チャンバを備えるシステムを使用して基板の表面に誘電体膜を形成する方法が提供される。1つまたは複数の実施形態によれば、この方法は、水酸化チャンバのプロセス領域に入るアミンおよび水酸化物の流れを、基板の表面が水酸化物とアミンに同時に曝されるように制御して水酸化基板表面を得ること、プロセスチャンバ内の圧力を制御すること、水酸化基板を水酸化チャンバから移送チャンバおよび堆積チャンバまでロードロック状態下で移動すること、ならびに、誘電体膜を水酸化基板上に堆積することを含む。
1つまたは複数の実施形態では、この方法はさらに、アミンと水酸化物が基板の付近では反応するが、プロセス領域の他の部分ではアミンと水酸化物が反応しないように、プロセス領域の温度分布を制御することを含む。いくつかの実施形態では、膜は原子層堆積プロセスによって堆積される。
本発明のさらに別の態様では、基板を処理するシステムが提供され、このシステムは、熱酸化物形成チャンバ、水酸化チャンバ、移送チャンバ、および堆積チャンバを備える。水酸化チャンバは、上のこれまでの態様で説明されたものの1つでよい。1つまたは複数の実施形態によれば、堆積チャンバは、高K誘電体膜を水酸化基板上に堆積する。1つまたは複数の実施形態によれば、移送チャンバは、2つ以上のチャンバ間で基板を外気に曝さずに移送することができる。
上記では、本発明のいくつかの特徴および技術的利点についてかなり広く概説した。開示された特定の実施形態は、本発明の範囲内で他の構造体およびプロセスを修正または設計するための基礎として、容易に利用できることが当業者には理解されるはずである。このような等価な構造がまた、添付の特許請求の範囲に記された本発明の趣旨および範囲から逸脱しないことも当業者には認識されるはずである。
上述の、本発明の特徴が列挙された方法が細部にわたり理解できるように、上で簡潔に要約した本発明のより具体的な説明は、添付の図面にそのいくつかが示されている実施形態を参照することによって得られよう。しかし、本発明では、その他の同様に効果的な実施形態を認めることができるので、添付の図面は、本発明の典型的な実施形態を示すのみであり、したがって、本発明の範囲を限定するものとみなされるべきでないことに留意されたい。
本発明の1つまたは複数の実施形態による装置のプロセス領域の側面断面図である。 本発明の1つまたは複数の実施形態による装置のプロセス領域の上面断面図である。 本発明の1つまたは複数の実施形態によるシステムの概略図である。 本発明の1つまたは複数の実施形態によるクラスタツールシステムの概略図である。
本明細書で説明される様々な実施形態では、空気に曝さずに基板表面を水酸化し、それによって、誘電体膜を含有したデバイスのヒステリシスの劣化を防止する方法および装置が提示される。本発明の諸実施形態は、基板が外気に曝されないようにするチャンバのプロセス領域内で実施可能なプロセスおよび装置を提供することに関係する。
本明細書で「基板表面」とは、製造プロセス中に膜処理が行われる任意の基板表面または基板上に形成された材料表面を指す。例えば、処理を実施できる基板表面には、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素ドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料と、金属、金属窒化物、金属合金などの他の任意の材料と、他の導電性材料とが用途に応じて含まれる。基板表面におけるバリア層、金属または金属窒化物には、デバイス製造に有用なチタン、窒化チタン、窒化タングステン、タンタルおよび窒化タンタル、アルミニウム、銅、あるいは他の任意の導体、または導電性もしくは非導電性バリア層が含まれる。基板は、直径200mmまたは300mmのウエハ、ならびに長方形または正方形の平面などの様々な寸法を有し得る。本発明の実施形態が有用であり得る基板には、それだけには限らないが、結晶シリコン(例えば、Si<100>またはSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた、またはドープされない多結晶シリコン、ドープされた、またはドープされないシリコンウエハ、GaAs、GaN、InPなどのIII−V材料、およびパターニングされた、またはパターニングされないウエハなどの半導体ウエハが含まれる。基板は、基板表面を研磨、エッチング、還元、酸化、水酸化、アニールおよび/またはベーキングするための前処理プロセスに曝されることがある。
それに応じて、本発明の一態様は、基板の表面に誘電体膜を形成するために基板を準備する方法に関し、この方法は、プロセスチャンバ内に基板を配置すること、ならびに水蒸気などの水酸化物およびアンモニアなどのアミンをプロセスチャンバの中に流し込むことを含む。水蒸気およびアンモニアは、基板の表面が水蒸気とアンモニアに同時に曝されるように流される。この方法は、真空条件下すなわち減圧下で基板を外気に曝さずに実施される。1つまたは複数の実施形態によれば、窒素などの不活性ガスが水酸化チャンバ内に存在することがある。
水蒸気およびアンモニアを特に参照しているが、本発明には他の水酸化物およびアミンの供給源を使用することが包含されることが理解されよう。例えば、適切な水酸化物には水および過酸化水素が含まれる。適切なアミンの例には、アンモニア、ピリジン、ヒドラジン、アルキルアミン、およびアリールアミンが含まれる。
水蒸気とアンモニアは、基板の表面で反応して水酸化アンモニウムが得られ、水酸化アンモニウムは次に基板の表面と反応して水酸化基板が得られる。特定の実施形態では、基板表面は水酸化の前にハロゲン化されない。1つまたは複数の実施形態によれば、基板または膜の表面に付加される唯一の官能性は水酸基官能性である。
1つまたは複数の実施形態によれば、基板は、表面を水酸化した後にさらなる処理を受ける。このさらなる処理は、水酸化チャンバと同じチャンバ内で実施することができ、あるいは1つまたは複数の別個の処理チャンバ内で実施することができる。一実施形態では、水酸化基板は、水酸化チャンバから別個の第2のチャンバまでさらなる処理のために移動される。水酸化基板は、水酸化チャンバから別個の処理チャンバまで直接移動することができ、あるいは、水酸化チャンバから1つまたは複数の移送チャンバまで移動し、次に所望の別個の処理チャンバまで移動することができる。
1つまたは複数の実施形態によれば、水酸化基板は、継続的に真空または「ロードロック」状態にあり、1つのチャンバから次のチャンバまで移動されるときに外気に曝されない。移送チャンバはこのように真空下にあり、真空圧下に「ポンプダウン」される。不活性ガスが処理チャンバまたは移送チャンバ内に存在することがある。一部の実施形態では不活性ガスが、基板の表面を水酸化した後の反応物の一部または全部を除去するためのパージガスとして使用される。1つまたは複数の実施形態によれば、反応物が水酸化チャンバから移送チャンバおよび/または処理チャンバへと移動することを防止するために、パージガスが水酸化チャンバの出口に注入される。このように、不活性ガスが流れることによりチャンバの出口にカーテンが形成される。
他の処理チャンバは、それだけには限らないが、堆積チャンバおよびエッチングチャンバを含み得る。1つまたは複数の実施形態によれば、化学気相堆積(CVD)または原子層堆積(ALD)などの堆積プロセスによって、水酸化基板上に膜が堆積される。特定の一実施形態では、膜が基板上に原子層堆積プロセスによって堆積される。
1つまたは複数の実施形態では、高誘電率(K)を有する膜が水酸化基板上に堆積される。高Kゲート誘電体を作るために使用できる材料には、それだけには限らないが、酸化ハフニウム、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化ジルコニウムケイ素、酸化チタン、酸化タンタル、酸化イットリウム、および酸化アルミニウムが含まれる。一部の実施形態では、高K誘電体膜はハフニウムを含む。このように、本発明の一態様は、基板の表面に誘電体膜を形成する方法に関係する。誘電体膜を形成する方法は、水酸化チャンバのプロセス領域に入るアンモニアおよび水蒸気の流れを、基板の表面が水蒸気とアンモニアに同時に曝されるように制御して水酸化基板表面を得ることを含み得る。この方法はさらに、プロセスチャンバ内の圧力を制御すること、ならびに水酸化基板を水酸化チャンバから移送チャンバおよび堆積チャンバまでロードロック状態下で移動することを含み得る。最後に、この方法は、例えば誘電体膜である膜を水酸化基板上に堆積することを含む。
この態様の1つまたは複数の実施形態によれば、この方法はさらに、アンモニアと水が基板の付近では反応するがチャンバリッドまたはチャンバ壁の付近などのプロセス領域の他の部分では反応しないように、プロセス領域の温度分布を制御することを含む。いくつかの実施形態では、膜は原子層堆積プロセスによって堆積される。
このように、本発明の別の態様は、前述の実施形態のいずれかによるプロセスを実施するために基板を水酸化する装置に関係する。一実施形態は、チャンバ本体、ウエハ支持体、持ち上げ機構、および1つまたは複数の注入器を備える装置に関連する。この装置は、基板表面に水蒸気およびアンモニアを供給し、これらの水蒸気とアンモニアが反応して水酸化アンモニウムを形成し、この水酸化アンモニウムが基板の表面を水酸化する。
水蒸気とアンモニアが反応して水酸化アンモニウムを形成するので、チャンバプロセス領域には腐食性環境があることになる。それに応じて、湿った経路内のすべての構成要素は、水酸化アンモニウムによって劣化しにくい材料を含まなければならない。それゆえに、アルミニウムなど、半導体処理チャンバに使用される典型的な材料は、腐食性環境に曝される構成要素には適さない。1つまたは複数の実施形態によれば、チャンバ本体、ウエハ支持体、および1つまたは複数の注入器は、水酸化アンモニウムによって劣化しにくい材料を含む。別の実施形態では、持ち上げ機構もまた、水酸化アンモニウムによって劣化しにくい材料を含む。
水酸化アンモニウムに対する所望の耐性が得られる多くの材料が使用可能である。例えば、ステンレス鋼、石英、およびポリテトラフルオロエチレンを装置内の様々な構成要素に使用することができる。特定の一実施形態では、装置構成要素のうちの1つまたは複数の構成要素がステンレス鋼を含む。
チャンバ本体は、チャンバ壁、チャンバ板、およびチャンバリッドを有する。チャンバ壁、チャンバ板、およびチャンバリッドは、水酸化反応が起こる領域であるチャンバプロセス領域を画定する。1つまたは複数の注入器は、アンモニアおよび水蒸気をチャンバプロセス領域の中に分散させ、これらが反応して水酸化アンモニウムを形成する。水酸化アンモニウムは次に基板の表面と反応して、水酸化基板が得られる。
図1Aは、本発明のこの態様によるチャンバ本体100の一実施形態の側面断面図を示す。チャンバ本体100は、チャンバリッド101、チャンバ壁102、およびチャンバ板103を備え、これらはチャンバプロセス領域104を画定する。図1Aおよび図1Bに示された装置は、断面が概ね円形であるプロセス領域を画定する単一の壁としてチャンバ壁102を示す。しかし、プロセス領域104は、基板を処理するための任意の適切な形状とすることができること、またプロセス領域を画定するチャンバ壁102は、多数の個別壁要素を含み得ることが理解されよう。チャンバリッド101は、プロセス領域104の上部境界を形成する。チャンバリッド101は、プロセス領域の洗浄および保守が容易になるように開放または取り外しが可能である。図示の実施形態では、チャンバリッド101は、チャンバ壁102からチャンバリッド101を持ち上げるためのハンドル115を含む。チャンバリッド101は、止めネジ、クランプなどの任意の適切な手段によって所定の位置に保持することができる。他の実施形態では、チャンバリッドはチャンバ壁102にヒンジ(図示せず)によって取り付けることができ、あるいはこのリッドは、垂直または水平引き戻し機構(図示せず)などによってチャンバ壁102に移動可能に結合することもできる。持ち上げ機構105は基板を昇降させると共に、開口106を通して基板をチャンバプロセス領域104の中および外へと移動するために使用される。スリットバルブ挿入物107により、装置を別のチャンバと接続することができる。スリットバルブ挿入物107は、基板が装置の中および外へと移動されるときに反応ガスがチャンバプロセス領域104から出ることを防止するためのパージガスの注入器を備え得る。
装置はまた、図1Bで最もよく見える周辺フレーム109を含む。周辺フレーム109は持ち上げ機構105と係合され、この持ち上げ機構は、プロセス領域104内の基板を昇降させるために周辺フレーム109を上下に移動させるサーボモータまたは他の任意の適切なデバイスとすることができる。図示の実施形態では、持ち上げ機構は、周辺フレーム109の一部分と接触する軸117を含む。
図1Bは、プロセス領域の上部断面図を示す。セラミック球108がチャンバ板103に付いている。セラミック球は、接合、接着、圧入などの種々の方法によって板に付けることができる。図示の実施形態では、セラミック球はチャンバ板103の穴に圧入されている。セラミック球108により、プロセス領域104の中およびチャンバ板103の上に装填される基板がオフセットされる。こうして、プロセス領域104の中に装填されセラミック球108の上に載っている基板は、チャンバ板103と直に接触しないことになる。こうすることにより、基板の装填およびプロセス領域104からの取出しが容易になる。上で論じたように、周辺フレーム109は、周辺フレーム109が基板をセラミック球108の上に降ろすことができるように、軸117によって持ち上げ機構105と動作可能に係合される。フィンガ110は、フレーム109の周辺に間隔を置いて配置され、フレーム109から内側に向いている。注入器111は、基板がセラミック球108の上に載っている間、基板の表面全体にわたってアンモニアおよび水蒸気を分散させる。
図示の実施形態では、セラミック球は、チャンバプロセス領域内のウエハ支持体として機能する。このウエハ支持体は、基板をチャンバ板の上方のプロセス領域内で上昇させ、チャンバプロセス領域の基板はウエハ支持体の上に載っている。これにより、基板の裏とチャンバ板の間の直接接触が防止される。基板とチャンバ板の間で直接接触すると、チャンバ板による基板の裏側金属汚染が生じることになり得る。特定の一実施形態では、基板とチャンバ板の間の直接接触がない。ウエハ支持体はセラミック球に限定されないことが理解されよう。他の実施形態では、ウエハ支持体は、持ち上げピン、隔離がいし、または他の任意の適切な要素を備え得る。
このように、ウエハ支持体は、チャンバ板と基板の間の接触を概ね最小限にする任意の構成を備え得る。1つまたは複数の実施形態では、ウエハ支持体は、複数のセラミック球などのセラミック支持体を含む。1つまたは複数の実施形態では、これらのセラミック球はチャンバ板に埋め込まれる。基板は、これらの球の最上部に載っており、下のチャンバ板とは接触しない。このように、基板がチャンバ板の上部に直に置かれずに、基板との複数の点接触だけが行われる。ある特定の実施形態によれば、セラミック支持体は窒化ケイ素を含む。
1つまたは複数の実施形態によれば、この装置はさらに、アンモニアと水がチャンバ壁および/またはチャンバリッドの付近では反応せずに基板支持体上の基板の付近で反応するように、チャンバ壁および/またはチャンバリッドの付近の温度を維持する加熱システム(図示せず)を備える。いくつかの実施形態では、この加熱システムは、反応物が壁102またはリッド101と反応することを防止する助けになるように、チャンバ壁および/またチャンバリッドを加熱する。それゆえに、チャンバ壁および/またチャンバリッドは、加熱要素に隣接していることがある。例えばチャンバ壁102には、チャンバ壁102を加熱するための抵抗加熱要素が埋め込まれていることがある。別法として、または抵抗加熱要素に加えて、ランプなどの輻射加熱要素が、チャンバ壁102およびリッド101を加熱するためにプロセス領域104の内側または付近に設けられることがある。
いくつかの実施形態では、チャンバ板103が加熱または冷却される。チャンバ板103の温度は、基板の表面で所望の相対湿度を実現するように調整することができる。特定の一実施形態によれば、チャンバプロセス領域104の温度は、約20℃から約60℃の範囲に維持される。1つまたは複数の実施形態では、基板表面の温度は、基板の水酸化を促進するために約25℃以下である。このように、いくつかの実施形態では、チャンバ板の付近の温度を昇降させて基板表面の温度の局部変化が水酸化を引き起こすように、チャンバ板/またはウエハ支持体が熱要素119に隣接する。熱要素119は、任意の適切な温度変更デバイスとすることができ、チャンバの付近または内部の様々な場所に置くことができる。熱要素119の適切な例には、それだけには限らないが、輻射ヒータ(例えば、ランプおよびレーザ)、抵抗ヒータ、液体制御熱交換器、および冷却加熱板が含まれる。冷却加熱板は、板を冷却または加熱するための液体または気体が流れる1つまたは複数の流体チャネルを含み得る。特定の一実施形態では、チャンバ板は冷却要素と熱接触している。
1つまたは複数の注入器111は、アンモニア供給源および水蒸気供給源(図示せず)と接続されるように構成される。アンモニアおよび水は同一の注入器から分散させることができ、あるいは、チャンバプロセス領域に到達する前に混合することを防止するために、多数の注入器が使用されることがある。アンモニアおよび水蒸気を分散させるために、直交流またはトップダウン流を含む任意の適切な流れ構成を使用することができる。注入器111は、反応物をチャンバプロセス領域の中に分散させるための、シャワーヘッドまたはバッフル板を含む任意の手段を含み得る。
周辺フレーム109に結合された持ち上げ機構105は、基板をウエハ支持体から昇降させるために使用され、そうするための任意の機械的手段を利用することができる。基板をウエハ支持体から昇降させることに加えて、持ち上げ機構105はまた、チャンバ106の開口を通して基板をチャンバプロセス領域104の中および外へと搬送することもできる。1つまたは複数の実施形態によれば、持ち上げ機構105は周辺フレーム109を備え、基板は、周辺フレームが基板を昇降させるときに周辺フレーム109の上に載っていることができる。いくつかの実施形態では、周辺フレーム109は、フレームを昇降させるためのモータと動作可能に係合される。
いくつかの実施形態によれば、周辺フレーム109は、少なくとも部分的に基板周辺を取り囲む。図示の実施形態では、周辺フレームは円の一部分である。図示の実施形態では、周辺フレームは約270度であるが、本発明はこの構成に限定されず、周辺フレーム109は、完全な円、半円(180度)または、半導体ウエハなどの基板を昇降させるのに適している他の任意の構成とすることができる。いくつかの実施形態では、周辺フレーム109は、周辺フレームまわりに間隔を置いて配置された複数の内側向きフィンガ110を備える。図1Bに示された実施形態では、3つのフィンガ110が示されている。しかし、もっと多い、または少ないフィンガ110を設けることができる。
1つまたは複数の実施形態では、持ち上げ機構は、基板と周辺フレーム109の間の接触を最小限にする隔離がいしを含有し得る。いくつかの実施形態では、チャンバ板103と同様に、隔離がいしは、基板との点接触を可能にするために、周辺フレーム109の上面から突き出る複数のセラミック隔離がいし121を含み得る。ある特定の実施形態では、セラミック隔離がいし121は、複数の内側向きフィンガ110に埋め込まれる。特定の実施形態では、セラミック隔離がいし121は窒化ケイ素を含む。
この装置はまた、チャンバの側壁に設置された移送バルブ107を備える。1つまたは複数の実施形態では、移送バルブ107はスリットバルブである。スリットバルブ107は、基板が水酸化チャンバプロセス領域104に出入りできる開口であり得る。スリットバルブ107はドア(図示せず)を含むことがあり、また、移送チャンバまたは隣接プロセスチャンバなどの別のチャンバに接続するように構成することができる。1つまたは複数の実施形態によれば、スリットバルブ挿入物はパージガス注入器(図示せず)を備え、これは、スリットバルブが開放位置にあるときに反応ガスが水酸化チャンバから出て隣接チャンバに入ることを防止するために、また外気がプロセス領域104に入ることを防止するために使用される。窒素を含み、任意の適切な不活性ガスをパージガスとして使用することができる。
本発明の別の態様は、基板表面を水酸化するシステムに関連する。1つまたは複数の実施形態によれば、このシステムは、図1Aおよび図1Bに関して前述したように、基板支持体を含むチャンバ本体100、アンモニア供給源、水蒸気供給源、および1つまたは複数の注入器を備える。いくつかの実施形態では、このシステムはまた、チャンバプロセス領域内の圧力を制御するための圧力制御バルブを備え得る。このシステムはさらに、チャンバプロセス領域内の圧力、ならびにチャンバ本体に入るアンモニアおよび水蒸気の流量を調節する制御システムを備え得る。この制御システムは、基板の表面が水蒸気とアンモニアに同時に曝されて水酸化基板表面が得られるように、圧力および反応物の流量を調節する。1つまたは複数の実施形態では、このシステムはさらに、制御された圧力下で基板をプロセス領域から移送チャンバまで移動して水酸化基板が外気に曝されることを防止するための移送バルブを備える。
図2は、本発明のこの態様による一実施形態を示す。チャンバ本体は、チャンバリッド201、チャンバ壁202、およびチャンバ板203を含む。チャンバリッド201、チャンバ壁202、およびチャンバ板203は、チャンバプロセス領域224を画定し、ここで基板表面で水酸化反応が生じる。持ち上げ機構214は、ロボットブレードまたは他の適切な移送機構により基板をチャンバプロセス領域の中および外へと移動できるように、基板を昇降させる。
例えばアンモニアガスであるアミン源は、アンモニア供給源206から供給され、アンモニア導管225を経由してプロセス領域224の中に送り出され、アンモニア導管225は、アンモニアを適当な流量で注入器221を介してプロセス領域224まで送り出すための、配管またはチャネルなどの任意の適切な導管であり得る。アンモニア供給源は、アンモニアガスのシリンダ、またはアンモニアガスを発生させるアンモニア発生システムであり得る。チャンバへのアンモニアガスの流量は、チャンバコントローラ204と通信できるアンモニアバルブ209およびアンモニア流量コントローラ212によって調節される。流量コントローラ212は、質量流量または体積流量コントローラであり得る。例えば水蒸気である水酸化物源は、水蒸気供給源207から供給され、導管227を経由し注入器221を介してプロセス領域224まで送り出される。水蒸気の流量は、水バルブ210および水流量コントローラ213によって調節され、水流量コントローラ213は、質量流量または体積流量コントローラであり得る。バルブ210および流量コントローラ213は、チャンバコントローラ204と通信することができる。図2に示されるように、アンモニアと水蒸気は、別々の導管225および227を経由して別々に送り出すことができる。しかし、チャンバの中にアンモニアおよび水蒸気を導入する前にこれらのガスを混合し、単一の導管で送り出すことは本発明の範囲内にある。
不活性ガスをパージガスとして不活性ガス導管229経由で供給して、排気システム218を介して反応物および/または副生成物をチャンバ本体から除去するために、不活性ガス供給源208を使用することができる。加えて、不活性ガスは、アンモニア供給源または水蒸気供給源の一方または両方で不活性ガスと混合することによって、反応物をチャンバの中に送り出すためのキャリアガスとして使用することができる。不活性ガスがキャリアガスとして使用されるべき場合、不活性ガス導管は、不活性ガス導管229をアンモニアガス導管225および/または水蒸気導管227の一方または両方と接続するための適当な相互接続部(図示せず)を含む。適当な相互接続部は、チャンバコントローラ204と通信するバルブおよび/または流量コントローラ(図示せず)を含む。不活性ガスバルブ211は、チャンバ本体への不活性ガスの流量を調節する。流量コントローラ233もまた、チャンバに入る不活性ガスの流量を調節するために使用され得る。
温度コントローラ205は、水蒸気システム207、チャンバリッド201およびチャンバ壁202の加熱要素、あるいはチャンバ板203の加熱要素および/または冷却要素などの、システムの様々な加熱要素および冷却要素を制御し得る。
排気システム218は、チャンバ本体からガスを除去する。排気導管231を介してチャンバに接続された排気ライン217と流体連通しているポンプ228は、水酸化プロセスが完了したときに、過剰な反応物および水酸化プロセスの副生成物をプロセス領域224から除去する。チャンバ本体をポンプ228から分離するために、分離バルブ216を使用することができる。チャンバ本体内の圧力を調節してプロセス領域224内の所望の相対湿度を実現するために、絞りバルブ215を使用することができる。このように、圧力および/または温度を調節または修正して、プロセス領域内の所望の相対湿度を実現するように、また基板を水酸化するように水の分圧を制御できることが理解されよう。相対湿度とは、特定の温度における水飽和圧力に対する水分圧の百分率を指す。特定の実施形態では、水の蒸気圧は、基板の温度において飽和蒸気圧の20%である。他の特定の実施形態では、水の飽和蒸気圧は、基板の温度において飽和蒸気圧の40%、60%、または80%である。
チャンバ本体、注入器、ウエハ支持体、および持ち上げ機構は、水酸化の装置についてこれまでに説明された特徴のいずれかを有し得る。
前述のように、アンモニアと水は反応して水酸化アンモニウムを形成するが、これは腐食性の環境になる。それゆえに、いくつかの実施形態によれば、水酸化アンモニウムに曝される構成要素は、劣化しにくい材料から構成されなければならない。このような材料には、それだけには限らないが、ステンレス鋼、石英、およびポリテトラフルオロエチレンが含まれる。
水蒸気供給源は、水酸化に使用されるべき水蒸気を供給すると共に、基板表面で水酸化反応を引き起こすのに適したチャンバプロセス領域に水蒸気を送り出す能力がある任意のシステムを備えることができる。水蒸気は、水蒸気発生システムによって発生させても、他の発生源で発生させてシステムに供給してもよい。いくつかの実施形態によれば、水蒸気は、泡が立てられる、または蒸気が引き出される水アンプルによって生成される。このように、いくつかの実施形態では、液体水供給源と、水中に気体を泡立たせて水蒸気を作るために水供給源に接続されたガス供給源とを備える水蒸気供給源が提供される。
別法として、水蒸気は、水を噴霧または蒸発させることによって生成することもできる。いくつかの実施形態では、このシステムは、水を保持する容器と、噴霧器、またはベンチュリ効果に依拠するノズルなどの水アトマイザとを備える。他の実施形態では、水蒸気供給源は、液体水源と、ペルチェコントローラによって制御され、チャンバコントローラ204と通信する1つまたは複数のペルチェデバイスなどの加熱要素とを備える。さらに別の実施形態では、水蒸気は、水素ガスおよび酸素ガスを使用するユニットによって発生させることもできる。
1つまたは複数の実施形態では、アンモニア流量コントローラ212、水蒸気流量コントローラ213、温度コントローラ204およびペルチェコントローラなどのシステムの様々な要素が、システムのI/O制御を行うチャンバコントローラ204によって制御される。それゆえに、チャンバコントローラ204は、CPU234、メモリ235、および様々なコントローラと有線または無線通信するI/O236を含み得る。CPU234は、注入器221へのアンモニアおよび水蒸気の流量を制御するために、アンモニア流量コントローラ212および水蒸気コントローラ213との間で信号を送受信する。CPU234はまた、絞りバルブ215がシステムの圧力制御バルブとして動作するように、絞りバルブ215との間で信号を送受信してチャンバプロセス領域内の圧力を制御する。CPU234はまた、チャンバからの排気の流量をさらに制御するために、分離バルブ216およびポンプ228と通信することもできる。
CPUは、様々なチャンバおよびサブプロセッサを制御するための工業環境内で使用できるコンピュータプロセッサの任意の形の1つでよい。したがって、CPUはメモリ235に結合することができ、メモリ235は、ランダムアクセスメモリ(RAM)、読出し専用メモリ(ROM)、フラッシュメモリ、コンパクトディスク、フロッピーディスク、または他の任意の形の局在または遠隔のデジタルストレージなどの、1つまたは複数の容易に入手可能なメモリでよい。このCPUを従来のようにサポートするために、サポート回路(図示せず)をCPUに結合することができる。これらの回路には、キャッシュ、電源、クロック回路、入力/出力回路素子、サブシステムなどが含まれる。CPU234およびメモリ235は、システムの様々なコントローラと通信するために、適当なI/O回路236に結合される。
制御システムはさらに、機械実行可能な命令セットを有するコンピュータ可読媒体を備え得る。これらの命令は、CPUによって実行された場合に、これまでに説明された方法のいずれかをシステムに実施させるようなものでよい。一実施形態では、これらの命令は、基板の表面を水蒸気とアンモニアに同時に曝して水酸化基板を得ることを含む方法に関連する。別の実施形態では、これらの命令は、基板の表面を水蒸気とアンモニアに同時に曝して水酸化基板を得ること、水酸化基板を水酸化チャンバから移送チャンバまで移動すること、水酸化基板を移送チャンバから堆積チャンバまで移動すること、ならびに水酸化基板上に膜を堆積することを含む方法に関連する。
水酸化システムはさらに、水酸化チャンバに加えて他のチャンバを備え得る。これらのチャンバには、移送チャンバ、ならびに堆積チャンバおよびエッチングチャンバなどの追加処理チャンバが含まれ得る。これらのチャンバは、「クラスタツールシステム」において相互接続することができる。
概して、クラスタツールは、多数のチャンバを備えるモジュールシステムであり、これらのチャンバは、基板中心発見および配向、ガス抜き、アニーリング、堆積および/またはエッチングを含む様々な機能を実施する。本発明の一実施形態によれば、クラスタツールは、本発明の水酸化プロセスを実施するように構成された水酸化チャンバを少なくとも含む。クラスタツールの多数のチャンバは、基板をチャンバ間で行き来させるように適合されたロボットを収容する中心移送チャンバに取り付けられる。移送チャンバは通常、真空状態に維持され、基板を1つのチャンバから別のチャンバまで、および/またはクラスタツールの前端に置かれたロードロックチャンバまで行き来させるための中間ステージを提供する。本発明に適合させることができる2つのよく知られているクラスタツールは、Centura(登録商標)およびEndura(登録商標)であり、両方ともカリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されている。1つのこのような段階的真空基板処理システムの詳細が、1993年2月16日に発行されたTepman他の「Staged−Vacuum Wafer Processing System and Method」という名称の米国特許第5,186,718号に開示されている。しかし、チャンバの厳密な配列および組合せは、本明細書で説明されているプロセスの特定のステップを実施する目的のために改変され得る。
図3は、本発明の一態様と一緒に使用できるクラスタツール、すなわちマルチチャンバ処理システム310の一例を示す。処理システム310は、基板をシステム310の中および外へと移送するための1つまたは複数のロードロックチャンバ312、314を含み得る。通常、システム310は真空下にあるので、ロードロックチャンバ312、314は、システム310の中に導入された基板を「ポンプダウン」することができる。第1のロボット320は、ロードロックチャンバ312、314と、1つまたは複数の基板処理チャンバ332、334、336、338の第1の組との間で基板を移送することができる。各処理チャンバ332、334、336、338は、いくつかの基板処理作業を実施するように構成することができる。例えば、処理チャンバ332は、エッチングプロセスを実践するように設計されたエッチング処理装置とすることができ、処理チャンバ334は、ALDもしくはCVDを実施するための堆積反応チャンバ、または基板上に熱酸化物層を形成するように設計された高速熱処理(RTP)チャンバもしくはRadOx(登録商標)チャンバとすることができる。処理チャンバ336、338はまた、例えば周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、化学的洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、水酸化、および他の基板プロセスをさらに行うように構成することもできる。
第1のロボット320はまた、1つまたは複数の移送チャンバ342、344との間で基板を移送することもできる。移送チャンバ342、344を使用して、基板をシステム310内で移送できるようにしながら、真空状態を維持することができる。第2のロボット350は、移送チャンバ342、344と、1つまたは複数の処理チャンバ362、364、366、368の第2の組との間で基板を移送することができる。処理チャンバ332、334、336、338と同様に、処理チャンバ362、364、366、368は、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エピタキシャル堆積、エッチング、前洗浄、化学洗浄、RTP/RadOx(登録商標)などの熱処理、プラズマ窒化、ガス抜き、および配向に加えて、エッチングプロセスを含む種々の基板処理作業を実施するように構成することができる。基板処理チャンバ332、334、336、338、362、364、366、368のいずれも、不要であればシステム310から除去することができる。
クラスタツール上のチャンバ内でこのプロセスを遂行することによって、大気中の不純物による基板の表面汚染が回避され、同時に、湿式化学処理による核形成の増大という利益が維持される。
カリフォルニア州Santa ClaraのApplied Materials,Inc.は、CMOSトランジスタゲートの薄い二酸化ケイ素層を形成するためのRadox(登録商標)と呼ばれるプロセスを含む基板処理チャンバを提供している。Radox(登録商標)プロセスでは、基板をランプで加熱し、水素および酸素をプロセスチャンバの中に注入する。これらのガスは、基板の表面に当たるとラジカルを形成する。ラジカルは中性種よりも反応しやすく、それによって、インシトゥ蒸気発生(ISSG)酸化物成長として知られる蒸気プロセスを用いて得られるものよりも速い層成長速度が実現する。
適切なエッチングチャンバまたは洗浄チャンバは、湿式またはドライエッチング用、反応性イオンエッチング(RIE)用などに構成することができる。例示的なエッチングチャンバには、やはりカリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されているSICONI(商標)チャンバ、Producer(登録商標)チャンバ、またはCarina(商標)チャンバが含まれる。1つの非限定的で例示的なドライエッチングプロセスでは、アンモニア(NH)もしくは三フッ化窒素(NF)ガス、または遠隔プラズマとの無水フッ化水素(HF)ガス混合物を含むことがあり、この混合ガスは、低温(例えば、約30℃)でSiO上で凝結し反応して、中程度の温度(例えば、>100℃)で昇華してSiOをエッチングできる化合物を形成する。このような例示的エッチングプロセスは時間と共に減少し、化合物の一部分が除去されない限り(例えば、前述の昇華プロセスによって)、最終的にはそれ以上のエッチングが起こらない点まで飽和状態になり得る。エッチングプロセスは、上記の機構を使用して、かつ/または時限エッチングプロセス(例えば、所定の期間のエッチング)によって制御することができる。例示的な湿式エッチングプロセスは、フッ化水素などを含み得る。例示的なプラズマエッチングプロセスまたは遠隔プラズマエッチングプロセスは、四フッ化炭素(CF)、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、水素(H)などの1つまたは複数のエッチング液を含むことがあり、加熱チャックを用いても用いなくても実施することができる。
特定の実施形態では、ロボット320が基板をロードロックチャンバ312、314のうちの1つから、例えばSINCONI(商標)チャンバであるドライエッチングチャンバまたは洗浄チャンバまで移動する第1のステップを含むプロセスが実施される。ドライエッチングプロセスまたは洗浄プロセスの後、第2のステップで基板は、元のロードロックチャンバ312、314の中に移動して戻す、または熱処理用の高速熱処理チャンバすなわちRadOx(登録商標)チャンバまで直接移送することができる。その後、第3のステップで、ロボット320は基板をロードロックチャンバ312、314のうちの1つまで、または直に水酸化チャンバまで移動することができる。別法として、第3のステップで基板は、RTPチャンバすなわちRadOx(登録商標)チャンバの後にドライ洗浄チャンバまたはエッチングチャンバまで移動すること、または堆積チャンバまで移動して中間K誘電体を形成することができる。第3のステップでの水酸化チャンバ内での処理、RTP/RadOx(登録商標)チャンバ、または中間K誘電体の堆積の後に、第4のステップは、中間K誘電体または高K誘電体の堆積を伴い得る。第5のステップは、高K誘電体の堆積、第4のステップで形成された高K誘電体のプラズマ窒化、RTP、または水酸化を含み得る。第6および第7のステップは、RTP/RadOx(登録商標)での処理およびプラズマ窒化、または中間K誘電体もしくは高K誘電体などの追加誘電層の形成を含み得る。
クラスタツールで実施されるプロセスの特定の一実施形態では、第1のステップはドライエッチング/洗浄を伴い、第2のステップはRTPチャンバ内の処理を含み、第3のステップはドライエッチング/洗浄チャンバ内の処理を含み、第4のステップは本明細書で説明されている水酸化チャンバ内の処理を伴い、第5のステップは高K誘電体の堆積を伴う。
適切な高K誘電体材料の例には、酸化ハフニウム、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化ジルコニウムケイ素、酸化チタン、酸化タンタル、酸化イットリウム、および酸化アルミニウムが含まれる。中間K誘電体は、高K誘電体をシリコンおよび/またはゲルマニウムなどの元素でドーピングすることによって得ることができる。
コントローラ353は、様々なサブプロセッサおよびサブコントローラを制御するための工業環境で使用できる汎用データ処理システムの任意の形のものでよい。概して、コントローラ353は、いくつかの一般的な構成要素の中でも、メモリ355および入出力(I/O)回路356と通信する中央処理ユニット(CPU)354を含む。
本明細書全体を通して「1つの実施形態」、「いくつかの実施形態」、「1つまたは複数の実施形態」または「一実施形態」について言及することは、その実施形態に関して説明された特別な特徴、構造、材料、または特性が、本発明の少なくとも1つの実施形態に含まれるということを意味する。それゆえに、本明細書全体を通して様々な場所で「1つまたは複数の実施形態」、「いくつかの実施形態」、「1つの実施形態」または「一実施形態」などの文言が出てきても、必ずしも本発明の同一の実施形態について言及しているとは限らない。さらに、特別な特徴、構造、材料、または特性は、任意の適切なやり方で1つまたは複数の実施形態の中で組み合わせることができる。上記の方法を説明する順番は、限定的なものと考えられるべきではなく、諸方法では、説明された操作を順番に従わずに、または省略もしくは追加をすることにより用いることができる。
上記の説明は、制限的なものではなく例示的なものであることを理解されたい。上記の説明を見直すことによって、他の多くの実施形態が当業者には明らかになろう。したがって、本発明の範囲は、添付の特許請求の範囲を参照して、このような特許請求の範囲の権利の対象となる等価物の全範囲と共に決定されるべきである。

Claims (15)

  1. 基板表面を水酸化するシステムであって、
    チャンバプロセス領域を画定するチャンバ壁、チャンバ板およびチャンバリッドを有するチャンバ本体と、
    ウエハ支持体と、
    前記チャンバプロセス領域までアミンおよび水酸化物を送り出す1つまたは複数の注入器と、
    前記チャンバプロセス領域内の圧力を制御する圧力制御バルブと、
    アミン流量コントローラ、水酸化物流量コントローラ、および前記水酸化物の分圧を修正するコントローラを含む制御システムであって、前記アミン流量コントローラおよび前記水酸化物流量コントローラが、前記基板の表面を前記水酸化物と前記アミンに同時に曝して水酸化基板表面を形成するように、前記チャンバプロセス領域内に入るアミンおよび水酸化物の流量を制御する、制御システムと、
    制御された圧力下で前記基板を移送チャンバまで移動することを可能にし、前記水酸化基板が外気に曝されることを防止する、前記プロセス領域と前記移送チャンバの間の前記チャンバ本体の上の移送バルブと
    を備える、システム。
  2. 前記アミンが、アンモニア、ピリジン、ヒドラジン、アルキルアミンおよびアリールアミンから選択される、請求項1に記載のシステム。
  3. 前記水酸化物が水蒸気を含む、請求項1に記載のシステム。
  4. 前記チャンバ本体、ウエハ支持体および1つまたは複数の注入器が、ステンレス鋼、石英およびポリテトラフルオロエチレンのうちの1つまたは複数から選択された、水酸化アンモニウムによって劣化しにくい材料を含む、請求項1に記載のシステム。
  5. 分離バルブ、絞りバルブおよびポンプを含む排気システムをさらに備える、請求項4に記載のシステム。
  6. パージガス供給源に接続されたパージガス注入器を備えているパージガスシステムをさらに備え、前記パージガス供給源がパージガスを前記チャンバプロセス領域まで送り出す、請求項1に記載のシステム。
  7. 前記アミンと前記水酸化物が前記チャンバリッドおよびチャンバ壁の付近では反応せず、前記アミンと前記水酸化物が前記ウエハ支持体上の基板の付近では反応するように、加熱システムと通信して前記チャンバリッドおよびチャンバ壁の付近の温度を維持する温度コントローラをさらに備える、請求項1に記載のシステム。
  8. 前記加熱システムが、前記チャンバリッドおよびチャンバ壁の付近の温度を上昇させる、前記チャンバリッドおよびチャンバ壁に隣接した加熱要素と、前記チャンバ板の付近の温度を上昇および降下させる熱要素とを備える、請求項7に記載のシステム。
  9. 前記基板を前記ウエハ支持体の上に降ろし、かつ前記ウエハ支持体から上昇させる、前記プロセスチャンバ内に置かれた持ち上げ機構をさらに備える、請求項1に記載のシステム。
  10. 基板表面を水酸化するシステムであって、
    チャンバプロセス領域を画定するチャンバ壁、チャンバ板およびチャンバリッドを有するチャンバ本体と、
    ウエハ支持体と、
    前記チャンバプロセス領域までアミンおよび水酸化物を送り出す1つまたは複数の注入器と、
    前記チャンバプロセス領域の圧力を制御する圧力制御バルブと、
    制御された圧力下で前記基板を移送チャンバまで移動することを可能にする、前記プロセス領域と前記移送チャンバの間の前記チャンバ本体の上の移送バルブと、
    アミン流量コントローラ、水酸化物流量コントローラおよびCPUを備える制御システムであって、このCPUが、前記圧力制御バルブと前記アミン流量コントローラと前記水酸化物流量コントローラへ信号を送受信して、前記チャンバプロセス領域内に入るアミンおよび水酸化物の流量を制御し、かつ前記チャンバプロセス領域内の水酸化物の分圧を制御し、前記制御システムがさらに、機械実行可能命令のセットが記憶された持続性コンピュータ可読媒体を備え、この機械実行可能命令のセットは、前記CPUによって実行されたときに前記システムに、前記基板の表面を水酸化物とアミンに同時に曝して水酸化基板を形成することを含む方法を実施させる、制御システムと
    を備えるシステム。
  11. 前記アミンがアンモニア、ピリジン、ヒドラジン、アルキルアミンおよびアリールアミンから選択される、請求項10に記載のシステム。
  12. 前記水酸化物が水蒸気を含む、請求項10に記載のシステム。
  13. 前記移送チャンバと、ロードロック状態下で前記移送チャンバと連通する堆積チャンバとをさらに備える、請求項10に記載のシステム。
  14. 前記制御システムがさらに、機械実行可能命令のセットが記憶された持続性コンピュータ可読媒体を備え、この機械実行可能命令のセットは、前記CPUによって実行されたときに前記システムに、
    前記基板の表面を水酸化物およびアミンに同時に曝して水酸化基板を形成すること、
    前記水酸化基板を前記水酸化チャンバから前記移送チャンバまで移動すること、
    前記水酸化基板を前記移送チャンバから堆積チャンバまで移動すること、ならびに
    前記水酸化基板上に膜を堆積すること
    を含む方法を実施させる、請求項13に記載のシステム。
  15. 熱酸化物形成チャンバと、
    請求項1に記載のシステムと、
    移送チャンバと、
    前記水酸化基板上に高K誘電体膜を堆積する堆積チャンバと
    を備える、基板を処理するシステム。
JP2013552683A 2011-02-04 2012-02-03 インシトゥ水酸化システム Pending JP2014510397A (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201161439686P 2011-02-04 2011-02-04
US61/439,686 2011-02-04
US13/192,041 2011-07-27
US13/192,041 US8778816B2 (en) 2011-02-04 2011-07-27 In situ vapor phase surface activation of SiO2
US201161543614P 2011-10-05 2011-10-05
US61/543,614 2011-10-05
US13/364,806 2012-02-02
US13/364,806 US20120201959A1 (en) 2011-02-04 2012-02-02 In-Situ Hydroxylation System
PCT/US2012/023797 WO2012106612A2 (en) 2011-02-04 2012-02-03 In-situ hydroxylation system

Publications (1)

Publication Number Publication Date
JP2014510397A true JP2014510397A (ja) 2014-04-24

Family

ID=46600792

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013552683A Pending JP2014510397A (ja) 2011-02-04 2012-02-03 インシトゥ水酸化システム

Country Status (5)

Country Link
US (1) US20120201959A1 (ja)
JP (1) JP2014510397A (ja)
KR (1) KR20140050580A (ja)
CN (1) CN103443905A (ja)
WO (1) WO2012106612A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022549466A (ja) * 2019-09-29 2022-11-25 アプライド マテリアルズ インコーポレイテッド P-fetのためのp型双極子

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
TWM610611U (zh) * 2011-10-05 2021-04-21 美商應用材料股份有限公司 羥化基材表面的裝置
US9765429B2 (en) * 2013-09-04 2017-09-19 President And Fellows Of Harvard College Growing films via sequential liquid/vapor phases
CN105917266A (zh) * 2013-11-14 2016-08-31 瑞士艾发科技 用于防指纹涂层的退火的设备和过程
KR102579784B1 (ko) * 2015-05-01 2023-09-15 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
WO2004102648A2 (en) * 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7964441B2 (en) * 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022549466A (ja) * 2019-09-29 2022-11-25 アプライド マテリアルズ インコーポレイテッド P-fetのためのp型双極子

Also Published As

Publication number Publication date
WO2012106612A3 (en) 2012-10-11
US20120201959A1 (en) 2012-08-09
CN103443905A (zh) 2013-12-11
KR20140050580A (ko) 2014-04-29
WO2012106612A2 (en) 2012-08-09

Similar Documents

Publication Publication Date Title
KR102581483B1 (ko) 기판 상에 실리콘 질화막을 선택적으로 형성하는 방법 및 관련 반도체 소자 구조체
TWI694167B (zh) 使用表面封端化學性質的薄膜介電質之選擇性沉積
JP2014510397A (ja) インシトゥ水酸化システム
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
US6884464B2 (en) Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
US20030138562A1 (en) Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
TWI790320B (zh) 釕的選擇性原子層沉積
US20130115778A1 (en) Dry Etch Processes
TW201441408A (zh) 包含氮化矽之膜的電漿輔助原子層沉積
KR20130093569A (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
TW201323647A (zh) 利用包含鉿或鋯之前驅物之膜的原子層沉積
TW200910452A (en) Methods for depositing a high-k dielectric material using chemical vapor deposition process
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
WO2005096358A1 (en) A silicon germanium surface layer for high-k dielectric integ ration
JP2022544674A (ja) 半導体装置の製造における実質的に炭素を含まないモリブデン含有膜およびタングステン含有膜
US9093264B2 (en) Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
TWI674625B (zh) 原位羥化裝置
US8163343B2 (en) Method of forming an aluminum oxide layer
KR101548129B1 (ko) 증착 챔버 내에서 산화로부터의 도전체 보호
TW202235670A (zh) 硼膜的沉積
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
US10323054B2 (en) Precursors for deposition of metal, metal nitride and metal oxide based films of transition metals
US11664215B2 (en) High selectivity atomic later deposition process
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application