KR20140012130A - 폴리머 함유 현상액 - Google Patents

폴리머 함유 현상액 Download PDF

Info

Publication number
KR20140012130A
KR20140012130A KR1020137026786A KR20137026786A KR20140012130A KR 20140012130 A KR20140012130 A KR 20140012130A KR 1020137026786 A KR1020137026786 A KR 1020137026786A KR 20137026786 A KR20137026786 A KR 20137026786A KR 20140012130 A KR20140012130 A KR 20140012130A
Authority
KR
South Korea
Prior art keywords
resist
polymer
forming
developer
resist film
Prior art date
Application number
KR1020137026786A
Other languages
English (en)
Other versions
KR101920649B1 (ko
Inventor
리키마루 사카모토
야스시 사카이다
방칭 호
Original Assignee
닛산 가가쿠 고교 가부시키 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 닛산 가가쿠 고교 가부시키 가이샤 filed Critical 닛산 가가쿠 고교 가부시키 가이샤
Publication of KR20140012130A publication Critical patent/KR20140012130A/ko
Application granted granted Critical
Publication of KR101920649B1 publication Critical patent/KR101920649B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

[과제] 미세한 패턴을 형성할 때에, 패턴 무너짐이 생기지 않는 현상액과, 이를 이용한 패턴 형성방법을 제공한다.
[해결수단] 드라이에칭 마스크 형성용 폴리머와 유기용제를 포함하는 리소그래피 프로세스에 이용되는 현상액. 폴리머가 레지스트와는 상이한 수지이다. 레지스트의 노광 후에 이용되는 현상액. 현상액이 아세트산부틸, 또는 아세트산부틸과 알코올과의 혼합용제 혹은, 2-펜타논, 또는 2-펜타논과 알코올과의 혼합용제인 현상액. 반도체기판에 레지스트를 피복하여 레지스트층을 형성하고, 노광하는 공정(A), 상기 레지스트층의 표면에 현상액을 접촉시키고, 레지스트 패턴의 사이에 폴리머층을 형성하는 공정(B), 레지스트층을 드라이에칭으로 제거하고, 상기 폴리머에 의한 리버스 패턴을 형성하는 공정(C)을 포함하는 반도체장치의 제조방법이다.

Description

폴리머 함유 현상액{POLYMER-CONTAINING DEVELOPER}
본 발명은 리소그래피 프로세스에 이용되는 현상액에 관한 것이다.
종래부터 반도체 디바이스의 제조에 있어서, 포토레지스트 조성물을 이용한 리소그래피에 의한 미세 가공이 행해지고 있다. 상기 미세 가공은 실리콘 웨이퍼상에 포토레지스트 조성물의 박막을 형성하고, 그 위에 반도체 디바이스의 패턴이 그려진 마스크 패턴을 개재하여 자외선 등의 활성 광선을 조사하고, 현상하고, 얻어진 레지스트 패턴을 보호막으로서 실리콘 웨이퍼를 에칭 처리하는 가공법이다. 그런데, 근래, 반도체 디바이스의 고집적도화가 진행되고, 사용되는 활성 광선도 i선(파장 365nm), KrF 엑시머 레이저(파장 248nm)에서 ArF 엑시머 레이저(파장 193nm)로 단파장화되는 경향에 있다. 이에 수반하여 활성 광선의 기판으로부터의 난반사나 정재파의 영향이 큰 문제였다. 따라서, 포토레지스트와 기판의 사이에 반사 방지막(bottom anti-reflective coating)을 마련하는 방법이 널리 검토되게 되었다.
패턴의 미세화에 의해 리소그래피 공정에서 레지스트의 노광 후에 행해지는 현상과 현상액의 린스 공정에서 패턴이 무너지는 현상이 문제가 되고 있다.
패턴 무너짐의 원인은, 현상액이나 린스액이 건조할 때의 표면장력이나 액의 유동에 수반하는 힘에 의한 패턴 간에 작용하는 힘, 즉 라플라스힘(Laplace force)에 의한 것이라고 여겨진다. 또한, 현상액이나 린스액을 원심력을 이용하여 외측으로 튕길 때에도 상기 라플라스힘이 발생하여 패턴 무너짐이 생기는 것이라고 생각된다.
이러한 문제를 해결하기 위하여, 기판상에 레지스트막을 형성하는 공정과, 상기 레지스트막에 잠상을 형성하기 위하여, 상기 레지스트막에 에너지선을 선택 조사하는 공정과, 상기 잠상이 형성된 상기 레지스트막으로부터 레지스트 패턴을 형성하기 위하여, 상기 레지스트막상에 현상액(알칼리 현상액)을 공급하는 공정과, 상기 기판상의 현상액을 린스액으로 치환하기 위하여, 상기 기판상에 상기 린스액을 공급하는 공정과, 상기 기판상의 린스액의 적어도 일부의 용제와 상기 레지스트막과 상이한 용질을 포함하는 도포막용 재료로 치환하기 위하여, 상기 기판상에 상기 도포막용 재료를 공급하는 공정과, 상기 기판상에 레지스트막을 덮는 도포막을 형성하기 위하여, 상기 도포막용 재료중의 용제를 휘발시키는 공정과, 상기 레지스트 패턴 상면의 적어도 일부분을 노출시키고 상기 도포막으로 구성된 마스크 패턴을 형성하기 위하여, 상기 도포막의 표면의 적어도 일부분을 후퇴시키는 공정과, 상기 마스크 패턴을 이용하여 상기 기판을 가공하는 공정을 포함하는 것을 특징으로 하는 패턴 형성 방법이 개시되어 있다(특허 문헌 1 참조).
일본 특개 2005-277052호 공보
레지스트 패턴이 미세해지면 현상시에 현상액을 스핀 드라이하는 경우에도, 역시 라플라스힘에 의해 패턴 무너짐을 일으킨다.
본 발명의 목적은 미세한 패턴을 형성할 때에, 패턴 무너짐을 일으키지 않는 현상액과, 그것을 이용한 패턴 형성 방법을 제공하는 것에 있다.
본 발명은 제1 관점으로서, 리소그래피 프로세스에 이용되는 현상액으로서, 드라이에칭 마스크 형성용 폴리머와 유기용제를 포함하는 현상액,
제2 관점으로서, 상기 폴리머가 레지스트막을 형성하는 경화성 수지와는 상이한 경화성 수지인 제1 관점에 기재된 현상액,
제3 관점으로서, 상기 현상액은 상기 레지스트막의 노광 후에 이용되는 제1 관점 또는 제2 관점에 기재된 현상액,
제4 관점으로서, 상기 현상액의 유기용제가 아세트산부틸, 또는 아세트산부틸과 알코올과의 혼합 용제인 제1 관점 내지 제3 관점 중 어느 하나에 기재된 현상액,
제5 관점으로서, 상기 현상액의 유기용제가 2-펜타논, 또는 2-펜타논과 알코올과의 혼합 용제인 제1 관점 내지 제3 관점 중 어느 하나에 기재된 현상액,
제6 관점으로서, 상기 폴리머가 노볼락 수지 또는 폴리오가노실록산인 제1 관점 내지 제5 관점 중 어느 하나에 기재된 현상액,
제7 관점으로서, 상기 현상액중의 상기 폴리머 농도가 0.5~20중량%인 제1 관점 내지 제6 관점 중 어느 하나에 기재된 현상액,
제8 관점으로서, 반도체 기판에 레지스트를 피복하여 레지스트막을 형성하고, 노광하는 공정(A), 상기 레지스트막의 표면에 제1 관점 내지 제7 관점 중 어느 하나에 기재된 현상액을 접촉시키고, 상기 패턴화된 레지스트막의 패턴의 사이에 상기 폴리머의 층을 형성하는 공정(B), 상기 패턴화된 레지스트막을 드라이에칭으로 제거하고, 상기 폴리머에 의한 리버스 패턴을 형성하는 상기 공정(C)를 포함하는 반도체 장치의 제조 방법,
제9 관점으로서, 상기 공정(A)에서의 레지스트막의 형성이, 반도체 기판상에 레지스트 하층막을 형성하고, 그 위에 레지스트막을 형성하는 것에 의한 것인 제8 관점에 기재된 반도체 장치의 제조 방법,
제10 관점으로서, 상기 공정(A)에서의 레지스트막의 형성이, 반도체 기판상에 유기 하층막을 형성하고, 그 위에 규소 원자를 포함하는 하드 마스크를 형성하고, 그 위에 레지스트막을 형성하는 것에 의한 것인 제8 관점에 기재된 반도체 장치의 제조 방법,
제11 관점으로서, 상기 공정(B)에 있어서, 상기 폴리머층의 형성이 열을 가하는 것을 포함하는 것인 제8 관점 내지 제10 관점 중 어느 하나에 기재된 제조 방법, 및
제12 관점으로서, 상기 공정(C)에 있어서, 레지스트/폴리머의 드라이에칭 속도비가 1.0 이상인 제8 관점 내지 제11 관점 중 어느 하나에 기재된 제조 방법이다.
본 발명에 의하면, 반도체 기판상에 피복하여 막형성한 레지스트를 노광한 후에, 폴리머를 포함하는 현상액을 이 레지스트막에 접촉시킴으로써, 이 레지스트막에 패턴이 형성되고 또한 패턴의 사이에 이 폴리머가 충전되는 점에서, 현상 및 세정시에 레지스트의 도괴를 방지할 수 있다. 또한 본 발명에서는, 이 폴리머가, 이 레지스트막을 형성하는 경화성 수지와는 상이한 종류의 경화성 수지인 점에서, 드라이에칭에 의해 레지스트막만을 선택적으로 제거할 수 있다. 이에 의해, 이 폴리머에 의한 새로운 미세 패턴을 형성하는 것이 가능하다.
상기 특허 문헌 1의 종래 기술에 있어서는, 노광 후의 레지스트 표면을 현상액으로 현상 후, 린스액으로 세정하고, 폴리머 성분을 함유한 도포액으로 린스액을 치환하고, 레지스트 패턴을 폴리머 성분으로 피복하고, 그 후에 드라이에칭으로 레지스트를 제거하여 치환된 폴리머 성분으로 리버스 패턴을 형성하는 방법이다.
그러나, 상기 방법에서는 현상액이나 린스액으로 레지스트를 제거하고 레지스트 패턴을 형성할 때에 라플라스힘이 작용하여 패턴 무너짐을 일으킬 가능성이 있다.
본 발명은, 마스크 노광 후의 레지스트 표면에 드라이에칭 마스크 형성용 폴리머를 포함하는 현상액을 접촉하고, 레지스트는 노광부가 유기용매에 불용이므로, 미노광부를 유기용제 함유 현상액으로 용해하고 또한 함유되어 있는 폴리머로 레지스트 패턴간을 폴리머로 충전하고, 레지스트 패턴의 도괴를 방지하는 것이다. 그 후, 레지스트 패턴을 충전한 표면을 드라이에칭하여 레지스트 패턴을 제거하고, 충전된 폴리머가 새로운 레지스트 패턴이 된다. 이는 리버스 프로세스라고 할 수도 있다.
레지스트층과 충전되는 폴리머는, 드라이에칭에 이용되는 가스종에 따라 드라이에칭 속도가 변화하는 조합으로 하는 것이 바람직하고, 예를 들면 레지스트층으로서 아크릴계 레지스트 재료를 이용했을 경우에, 충전되는 폴리머층의 폴리머는 노볼락 수지(페놀노볼락 수지, 나프톨노볼락 수지, 또는 이들의 조합) 등의 높은 탄소 함유율을 가지는 수지나, 폴리오가노실록산 재료를 이용하는 것이 바람직하다.
본 발명은, 노광 후의 레지스트에 폴리머를 포함하는 현상액으로 피복시킴으로써, 미노광부를 현상하면서 현상 제거된 레지스트 패턴 간에 그 후의 공정에서 새롭게 패턴을 형성하는 것이 가능한 폴리머로 충전하므로, 당초의 레지스트 패턴이 도괴하는 일없이 충전이 가능하며, 그 후의 드라이에칭 프로세스에서 리버스 패턴(역패턴)에 의해 도괴하지 않는 미세한 패턴을 형성할 수 있다.
이어서, 본 발명의 조성물의 구성에 대하여 설명한다.
본 발명은 드라이에칭 마스크 형성용 폴리머와 유기용제를 포함하는 리소그래피 프로세스에 이용되는 레지스트 현상액이다.
현상액은 유기용제에 상기 드라이에칭 마스크 형성용 폴리머가 용해되어 있는 것이며, 고형분은 0.5~20.0질량%, 또는 1.0~10.0질량%이다. 고형분이란 현상액으로부터 유기용제를 제거한 나머지의 비율이다.
고형분중에 차지하는 상기 드라이에칭 마스크 형성용 폴리머의 비율은, 50~100질량%, 또는 80~100질량%이다.
현상액중의 드라이에칭 마스크 형성용 폴리머의 농도는 0.5~20.0질량%이다.
본 발명에서는, 레지스트의 노광 후에 이용되는 현상액이기 때문에, 마스크를 통하여 노광 후에 상기 현상액으로 제거되는 부분은 미노광부이다.
드라이에칭, 마스크 형성용 폴리머는 레지스트막을 형성하는 경화성 수지와는 상이한 경화성 수지이다.
이에 의해, 후의 드라이에칭 공정에서, 가스 종의 선정에 따라, 레지스트를 선택적으로 드라이에칭 제거하여 충전한 드라이에칭 마스크 형성용 폴리머에 의한 새로운 패턴이 형성된다.
이들 중에서도 하이드록시기를 함유하지 않는 용제로서는 아세트산부틸이 바람직하고, 알코올과 아세트산부틸의 혼합 용제를 들 수 있다. 예를 들면, 4-메틸-2-펜타놀과 아세트산부틸을 1:99~20:80의 질량비로 혼합한 유기용제를 들 수 있다.
또한, 프로필렌글리콜모노메틸에테르와 아세트산부틸과의 혼합 용제를 들 수 있다. 예를 들면, 프로필렌글리콜모노메틸에테르와 아세트산부틸을 1:99~20:80의 질량비로 혼합한 유기용제를 들 수 있다.
현상액에 포함되는 드라이에칭 마스크 형성용 폴리머로서는, 레지스트막을 형성하는 경화성 수지와는 상이한 경화성 수지를 들 수 있다. 예를 들면, 레지스트가 아크릴계 레지스트를 이용하는 경우에, 충전되는 폴리머층의 폴리머는 노볼락 수지(페놀노볼락 수지, 나프톨노볼락 수지, 또는 이들의 조합) 등의 높은 탄소 함유율을 가지는 수지나, 폴리오가노실록산 재료를 이용하는 것이 바람직하다.
노볼락 수지로서는 이하에 예시된다.
[화학식 1]
Figure pct00001

상기 단위 구조, 또는 상기 단위 구조의 조합을 포함하는 노볼락 수지를 이용할 수 있다. x와 y는 몰비이며, x:y=100:0~0:100, 또는 80~20:20~80, 또는 70~30:30~70을 들 수 있다.
식 (1)의 단위 구조를 가지는 폴리머의 중량 평균 분자량은 1000~30000, 또는 2000~10000의 범위로 할 수 있다.
폴리실록산 수지로서는 이하에 예시되는 식 (2)로부터 선택되는 적어도 1종의 가수분해성 실란을 가수분해하고 축합하여 얻어진 폴리실록산을 이용할 수 있다.
[화학식 2]
Figure pct00002
단, 식 중 R1은 알킬기, 아릴기, 아랄킬기, 할로겐화 알킬기, 할로겐화 아릴기, 할로겐화 아랄킬기, 알케닐기, 또는 에폭시기, 아크릴로일기, 메타크릴로일기, 메르캅토기, 알콕시아릴기, 아실옥시아릴기, 혹은 시아노기를 가지는 유기기, 또는 이들의 조합이며, 또한 Si-C결합에 의해 규소 원자와 결합하고 있는 것이며, R2은 알콕시기, 아실옥시기, 또는 할로겐기를 나타내고, a는 0~3의 정수를 나타낸다.
식 (2)로부터 선택되는 적어도 1종의 가수분해성 실란을 가수분해하고 축합하여 얻어진 폴리실록산의 중량 평균 분자량은, 500~30000 또는 800~10000의 범위이다.
가수분해는 알콕시실릴기, 아실옥시실릴기, 또는 할로겐화 실릴기의 가수분해에는, 가수분해성기의 1몰당 0.5~100몰, 바람직하게는 1~10몰의 물을 이용한다.
또한, 가수분해성기의 1몰당 0.001~10몰, 바람직하게는 0.001~1몰의 가수분해 촉매를 이용할 수 있다.
가수분해와 축합을 행할 때의 반응 온도는, 통상 20~80℃이다.
가수분해는 완전히 가수분해를 행할 수도, 부분 가수분해할 수도 있다. 즉, 가수분해 축합물중에 가수분해물이나 모노머가 잔존할 수도 있다. 가수분해하고 축합시킬 때에 촉매를 이용할 수 있다.
가수분해 촉매로서는, 금속 킬레이트 화합물, 유기산, 무기산, 유기염기, 무기염기를 들 수 있다.
가수분해 촉매로서의 유기산은, 예를 들면 아세트산, 프로피온산, 부탄산, 펜탄산, 헥산산, 헵탄산, 옥탄산, 노난산, 데칸산, 옥살산, 말레산, 메틸말론산, 아디프산, 세바신산, 몰식자산, 부티르산, 메리트산, 아라키돈산, 시킴산, 2-에틸헥산산, 올레산, 스테아르산, 리놀산, 리놀레산, 살리실산, 안식향산, p-아미노 안식향산, p-톨루엔술폰산, 벤젠술폰산, 모노클로로아세트산, 디클로로아세트산, 트리클로로아세트산, 트리플루오르아세트산, 포름산, 말론산, 술폰산, 프탈산, 푸마르산, 구연산, 주석산 등을 들 수 있다.
가수분해 촉매로서의 무기산은, 예를 들면 염산, 질산, 황산, 불산, 인산 등을 들 수 있다.
가수분해 촉매로서의 유기 염기는, 예를 들면 피리딘, 피롤, 피페라진, 피롤리딘, 피페리딘, 피콜린, 트리메틸아민, 트리에틸아민, 모노에탄올아민, 디에탄올아민, 디메틸모노에탄올아민, 모노메틸디에탄올아민, 트리에탄올아민, 디아자비씨클로옥탄, 디아자비씨클로노난, 디아자비사이클로운데센, 테트라메틸암모늄하이드로옥사이드 등을 들 수 있다. 무기 염기로서는, 예를 들면 암모니아, 수산화 나트륨, 수산화 칼륨, 수산화 바륨, 수산화 칼슘 등을 들 수 있다. 이들 촉매 중, 금속 킬레이트 화합물, 유기산, 무기산이 바람직하고, 이들은 1종 혹은 2종 이상을 동시에 사용할 수도 있다.
가수분해에 이용되는 유기용제로서는, 예를 들면 n-펜탄, i-펜탄, n-헥산, i-헥산, n-헵탄, i-헵탄, 2,2,4-트리메틸펜탄, n-옥탄, i-옥탄, 시클로헥산, 메틸시클로헥산 등의 지방족 탄화수소계 용제; 벤젠, 톨루엔, 자일렌, 에틸벤젠, 트리메틸벤젠, 메틸에틸벤젠, n-프로필벤젠, i-프로필벤젠, 디에틸벤젠, i-부틸벤젠, 트리에틸벤젠, 디-i-프로필벤젠, n-아밀나프탈렌, 트리메틸벤젠 등의 방향족 탄화수소계 용제; 메탄올, 에탄올, n-프로판올, i-프로판올, n-부탄올, i-부탄올, sec-부탄올, t-부탄올, n-펜타놀, i-펜타놀, 2-메틸부탄올, sec-펜타놀, t-펜타놀, 3-메톡시부탄올, n-헥산올, 2-메틸펜타놀, sec-헥산올, 2-에틸부탄올, sec-헵탄올, 헵탄올-3, n-옥탄올, 2-에틸헥산올, sec-옥탄올, n-노닐알코올, 2,6-디메틸헵탄올-4, n-데칸올, sec-운데실알코올, 트리메틸노닐알코올, sec-테트라데실알코올, sec-헵타데실알코올, 페놀, 시클로헥산올, 메틸시클로헥산올, 3,3,5-트리메틸시클로헥산올, 벤질알코올, 페닐메틸카르비놀, 디아세톤알코올, 크레졸 등의 모노알코올계 용제; 에틸렌글리콜, 프로필렌글리콜, 1,3-부틸렌글리콜, 펜탄디올-2,4, 2-메틸펜탄디올-2,4, 헥산디올-2,5, 헵탄디올-2,4, 2-에틸헥산디올-1,3, 디에틸렌글리콜, 디프로필렌글리콜, 트리에틸렌글리콜, 트리프로필렌글리콜, 글리세린 등의 다가 알코올계 용제; 아세톤, 메틸에틸케톤, 메틸-n-프로필케톤, 메틸-n-부틸케톤, 디에틸케톤, 메틸-i-부틸케톤, 메틸-n-펜틸케톤, 에틸-n-부틸케톤, 메틸-n-헥실케톤, 디-i-부틸케톤, 트리메틸노나논, 시클로헥사논, 메틸시클로헥사논, 2,4-펜탄디온, 아세토닐아세톤, 디아세톤알코올, 아세토페논, 펜촌 등의 케톤계 용제; 에틸에테르, i-프로필에테르, n-부틸에테르, n-헥실에테르, 2-에틸헥실에테르, 에틸렌옥사이드, 1,2-프로필렌옥사이드, 디옥솔란, 4-메틸디옥솔란, 디옥산, 디메틸디옥산, 에틸렌글리콜모노메틸에테르, 에틸렌글리콜모노에틸에테르, 에틸렌글리콜디에틸에테르, 에틸렌글리콜모노-n-부틸에테르, 에틸렌글리콜모노-n-헥실에테르, 에틸렌글리콜모노페닐에테르, 에틸렌글리콜모노-2-에틸부틸에테르, 에틸렌글리콜디부틸에테르, 디에틸렌글리콜모노메틸에테르, 디에틸렌글리콜모노에틸에테르, 디에틸렌글리콜디에틸에테르, 디에틸렌글리콜모노-n-부틸에테르, 디에틸렌글리콜디-n-부틸에테르, 디에틸렌글리콜모노-n-헥실에테르, 에톡시트리글리콜, 테트라에틸렌글리콜디-n-부틸에테르, 프로필렌글리콜모노메틸에테르, 프로필렌글리콜모노에틸에테르, 프로필렌글리콜모노프로필에테르, 프로필렌글리콜모노부틸에테르, 프로필렌글리콜모노메틸에테르아세테이트,
4-메틸-2-펜타놀, 디프로필렌글리콜모노메틸에테르, 디프로필렌글리콜모노에틸에테르, 디프로필렌글리콜모노프로필에테르, 디프로필렌글리콜모노부틸에테르, 트리프로필렌글리콜모노메틸에테르, 테트라하이드로푸란, 2-메틸테트라하이드로푸란 등의 에테르계 용제; 디에틸카보네이트, 아세트산메틸, 아세트산에틸, γ-부틸로락톤, γ-발레로락톤, 아세트산n-프로필, 아세트산i-프로필, 아세트산n-부틸, 아세트산i-부틸, 아세트산sec-부틸, 아세트산n-펜틸, 아세트산sec-펜틸, 아세트산3-메톡시부틸, 아세트산메틸펜틸, 아세트산2-에틸부틸, 아세트산2-에틸헥실, 아세트산벤질, 아세트산시클로헥실, 아세트산메틸시클로헥실, 아세트산n-노닐, 아세트아세트산메틸, 아세트아세트산에틸, 아세트산에틸렌글리콜모노메틸에테르, 아세트산에틸렌글리콜모노에틸에테르, 아세트산디에틸렌글리콜모노메틸에테르, 아세트산디에틸렌글리콜모노에틸에테르, 아세트산디에틸렌글리콜모노-n-부틸에테르, 아세트산프로필렌글리콜모노메틸에테르, 아세트산프로필렌글리콜모노에틸에테르, 아세트산프로필렌글리콜모노프로필에테르, 아세트산프로필렌글리콜모노부틸에테르, 아세트산디프로필렌글리콜모노메틸에테르, 아세트산디프로필렌글리콜모노에틸에테르, 디아세트산글리콜, 아세트산메톡시트리글리콜, 프로피온산에틸, 프로피온산n-부틸, 프로피온산i-아밀, 옥살산디에틸, 옥살산디-n-부틸, 유산메틸, 유산에틸, 유산n-부틸, 유산n-아밀, 말론산디에틸, 프탈산디메틸, 프탈산디에틸 등의 에스테르계 용제; N-메틸포름아미드, N,N-디메틸포름아미드, N,N-디에틸포름아미드, 아세트아미드, N-메틸아세트아미드, N,N-디메틸아세트아미드, N-메틸프로피온아미드, N-메틸피롤리돈 등의 함질소계 용제; 황화디메틸, 황화디에틸, 티오펜, 테트라하이드로티오펜, 디메틸술폭시드, 술포란, 1,3-프로판술톤 등의 함유황계 용제 등을 들 수 있다. 이들 용제는 1종 또는 2종 이상의 조합으로 이용할 수 있다.
이 폴리실록산으로서는, 예를 들면 이하에 예시된다.
[화학식 3]
Figure pct00003

x와 y는 몰비이며, x:y=100:0~0:100, 또는 80~20:20~80, 또는 70~30:30~70을 들 수 있다.
본 발명은 반도체 기판에 레지스트를 피복하여 레지스트층을 형성하고, 노광하는 공정(A), 이 레지스트층의 표면에 제1 관점 내지 제7 관점 중 어느 하나에 기재된 현상액을 접촉하고, 레지스트 패턴의 사이에 폴리머층을 형성하는 공정(B), 레지스트층을 드라이에칭으로 제거하고, 이 폴리머에 의한 리버스 패턴을 형성하는 공정(C)을 포함하는 반도체 장치의 제조 방법이다.
공정(A)에 이용되는 레지스트 재료로서는, 예를 들면 폴리메타크릴레이트 등의 수지 성분을 가지는 레지스트를 들 수 있다. 레지스트 용액은 도포한 후에 소성을 소성온도 70.0~150.0℃에서, 소성시간 0.5~5분간으로 행하고, 레지스트 막두께는 10~1000nm의 범위에서 얻어진다. 레지스트 용액이나 현상액이나 이하에 나타낸 도포 재료는, 스핀 코트, 딥법, 스프레이법 등으로 피복할 수 있는데, 특히 스핀 코트법이 바람직하다. 레지스트의 노광은 소정의 마스크를 통하여 노광이 행해진다. 노광에는, KrF 엑시머 레이저(파장 248nm), ArF 엑시머 레이저(파장 193nm) 및 EUV광(파장 13.5nm), 전자선 등을 사용할 수 있다. 노광 후, 필요에 따라 노광 후 가열(PEB: Post Exposure Bake)을 행할 수도 있다. 노광 후 가열은, 가열 온도 70℃~150℃, 가열 시간 0.3~10분간에서 적절히 선택된다.
공정(A)의 레지스트의 형성이, 반도체 기판상에 레지스트 하층막이 형성되고, 그 위에 레지스트를 형성할 수 있다.
공정(A)의 레지스트의 형성이, 반도체 기판상에 유기 하층막을 형성하고, 그 위에 규소의 하드 마스크를 형성하고, 그 위에 레지스트를 형성시킬 수 있다.
상기 공정(A)에서 이용되는 레지스트 하층막은 상층 레지스트의 노광시의 난반사를 방지하는 것이며, 또한, 레지스트와의 밀착성을 향상하는 목적으로 이용하는 것으로, 예를 들면 아크릴계 수지나 노볼락계 수지를 이용할 수 있다. 레지스트 하층막은 반도체 기판상에 막두께 1~1000nm의 피막을 형성할 수 있다.
또한, 상기 공정(A)에 이용되는 유기 하층막은 유기 수지를 이용한 하드 마스크이며, 탄소 함유량이 많고 수소 함유량이 낮은 재료가 이용된다. 예를 들면 폴리비닐나프탈렌계 수지, 카르바졸노볼락 수지, 페놀노볼락 수지, 나프톨노볼락 수지 등을 들 수 있다. 이들은 반도체 기판상에 막두께 5~1000nm로 피막을 형성할 수 있다.
또한, 상기 공정(A)에 이용되는 규소의 하드 마스크의 경우에는, 상술한 폴리실록산 수지로서는 이하에 예시되는 식 (2)로부터 선택되는 적어도 1종의 가수분해성 실란을 가수분해하여 얻어진 폴리실록산을 이용할 수 있다. 예를 들면, 테트라에톡시실란, 메틸트리메톡시실란, 및 페닐트리에톡시실란을 가수분해하여 얻어지는 폴리실록산을 예시할 수 있다. 이들은 상기 유기 하층막 상에 막두께 5~200nm로 피막을 형성할 수 있다.
공정(B)에 있어서, 드라이에칭 마스크 형성용 폴리머층의 형성을 위한 폴리머는 가열하여 형성할 수 있다. 가열은 소성온도 50~180℃에서 0.5~5분간 행해진다.
공정(C)에 있어서, 드라이에칭은 테트라플루오르메탄, 퍼플루오르시클로부탄(C4F8), 퍼플루오르프로판(C3F8), 트리플루오르메탄, 일산화탄소, 아르곤, 산소, 질소, 육불화유황, 디플루오르메탄, 삼불화질소 및 삼불화염소 등의 가스를 이용하여 행해진다. 이에 의해 당초의 레지스트 패턴을 제거하고, 현상액 중에 포함되어 있던 드라이에칭 마스크 형성용 폴리머에 의한 리버스 패턴이 형성된다.
실시예
합성예 1
나프톨노볼락 수지(1-나프톨:페놀=50:50의 몰비로 포름알데히드와 반응시켜 노볼락 수지를 합성했다. 중량 평균 분자량은 3200) 3g을 프로필렌글리콜모노메틸에테르 3.88g, 아세트산부틸 93.12g에 용해시켜, 드라이에칭 마스크 형성용 폴리머를 포함하는 현상액을 얻었다.
합성예 2
실리콘 함유 수지(3-트리메톡시실릴프로필메타크릴레이트:메틸트리에톡시실란=20:80의 몰비로 혼합하고, 혼합한 실란을 가수분해와 축합을 행하여 폴리실록산 수지를 얻었다. 중량 평균 분자량은 26000) 3g을 4-메틸-2-펜타놀 3.88g, 아세트산부틸 93.12g에 용해시켜, 드라이에칭 마스크 형성용 폴리머를 포함하는 현상액을 얻었다.
(레지스트 미노광부의 치환과 드라이에칭에 의한 패터닝)
실시예 1
실리콘 기판상에 레지스트 하층막(시판품, 수지 성분은 폴리메타크릴레이트)을 스피너를 이용하여 막두께 80nm로 제막하고, 그 막 위에 ArF용 레지스트 용액(시판품, 수지 성분은 폴리메타크릴레이트)을 스피너를 이용하여 도포했다. 핫 플레이트상에서, 100℃에서 60초간 가열함으로써 막두께 100nm의 레지스트막을 형성했다. ArF 엑시머 레이저용 노광 장치(NIKON사제, S307E)를 이용하여 소정의 조건으로 노광한다. 목적으로 하는 선폭을 65nm 라인 앤드 스페이스로 하고, 노광 후, 105℃에서 60초간 가열(PEB)을 행하고, 쿨링 플레이트 상에서 실온까지 냉각했다. 합성예 1에서 얻어진 현상액을 레지스트막 상에 축적하고, 60초간 정치하고, 1500rpm으로 60초 스핀하여 용제를 스핀 드라이하고, 또한 합성예 1의 현상액을 축적하여 5초간 정치하고, 1500rpm으로 60초 스핀하여 패턴 형성막과 레지스트 미노광부의 치환을 행했다.
레지스트 미노광부의 치환의 유무는, 단면 SEM 관찰에 의해 판단했다.
실시예 2
상기 실시예 1에 있어서, 합성예 1의 현상액 대신에 합성예 2의 현상액을 이용한 것 이외는 마찬가지로 행했다.
비교예 1
상기 실시예 1에 있어서, 유기용제만으로 이루어지는 현상액을 스핀 코트하고, 그 후에 실시예 1의 현상액을 이용한 것 이외는 실시예 1과 마찬가지로 행했다.
표 1에 있어서, 드라이에칭 마스크 형성용 폴리머를 포함하는 현상액에 의한 폴리머의 매립성의 평가는, 단면 SEM에 의한 관찰로 패턴 형성이 양호한 경우를 「양호」로 하고, 패턴 형성이 불량 또는 패턴 형성이 행해지지 않은 경우를 「없음」이라고 했다.
패턴 무너짐의 유무의 평가는 단면 SEM에 의한 관찰로 패턴 무너짐이 없는 경우를 「없음」으로 하고, 패턴 무너짐을 일으킨 경우를 「있음」으로 했다.
[표 1]
Figure pct00004

실시예 2에서 매립한 막의 드라이에칭에 의한 패터닝을 행했다. 에칭 가스로서 CF4를 이용한 드라이에칭을 행하고, 레지스트 패턴 상부를 노출시켰다. 마지막으로, 에칭 가스로서 O2를 이용한 드라이에칭을 행하고, 레지스트를 제거하여 패턴이 얻어졌다. 레지스트 패턴과 함께, 레지스트 하층막의 적어도 일부가 에칭되었다.
[산업상의 이용 가능성]
미세한 레지스트 패턴을 패턴 무너짐을 일으키지 않고 형성하는 것이 가능해지며, 고도로 집적된 반도체 장치의 제조에 이용하는 것이 가능하다.

Claims (12)

  1. 리소그래피 프로세스에 이용되는 현상액으로서, 드라이에칭 마스크 형성용 폴리머와 유기용제를 포함하는 현상액.
  2. 제1항에 있어서,
    상기 폴리머가 레지스트막을 형성하는 경화성 수지와는 상이한 경화성 수지인 현상액.
  3. 제1항 또는 제2항에 있어서,
    상기 현상액은 상기 레지스트막의 노광 후에 이용되는 현상액.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 현상액 중의 유기용제가 아세트산부틸, 또는 아세트산부틸과 알코올과의 혼합 용제인 현상액.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 현상액 중의 유기용제가 2-펜타논, 또는 2-펜타논과 알코올과의 혼합 용제인 현상액.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 폴리머가 노볼락 수지 또는 폴리오가노실록산인 현상액.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 현상액 중의 상기 폴리머의 농도가 0.5~20중량%인 현상액.
  8. 반도체 기판에 레지스트를 피복하여 레지스트막을 형성하고, 노광하는 공정(A), 상기 레지스트막의 표면에 제1항 내지 제7항 중 어느 한 항에 기재된 현상액을 접촉시키고, 상기 패턴화된 레지스트막의 패턴의 사이에 상기 폴리머의 층을 형성하는 공정(B), 상기 패턴화된 레지스트막을 드라이에칭으로 제거하고, 상기 폴리머에 의한 리버스 패턴을 형성하는 상기 공정(C)을 포함하는 반도체 장치의 제조 방법.
  9. 제8항에 있어서,
    상기 공정(A)에서의 레지스트막의 형성이, 반도체 기판상에 레지스트 하층막을 형성하고, 그 위에 레지스트막을 형성하는 것에 의한 것인 반도체 장치의 제조 방법.
  10. 제8항에 있어서,
    상기 공정(A)에서의 레지스트막의 형성이, 반도체 기판상에 유기 하층막을 형성하고, 그 위에 규소 원자를 포함하는 하드 마스크를 형성하고, 그 위에 레지스트막을 형성하는 것에 의한 것인 반도체 장치의 제조 방법.
  11. 제8항 내지 제10항 중 어느 한 항에 있어서,
    상기 공정(B)에 있어서, 상기 폴리머층의 형성이 열을 가하는 것을 포함하는 것인 제조 방법.
  12. 제8항 내지 제11항 중 어느 한 항에 있어서,
    상기 공정(C)에 있어서, 레지스트/폴리머의 드라이에칭 속도비가 1.0 이상인 제조 방법.


















KR1020137026786A 2011-03-24 2012-03-19 폴리머 함유 현상액 KR101920649B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011066290 2011-03-24
JPJP-P-2011-066290 2011-03-24
PCT/JP2012/057019 WO2012128251A1 (ja) 2011-03-24 2012-03-19 ポリマー含有現像液

Publications (2)

Publication Number Publication Date
KR20140012130A true KR20140012130A (ko) 2014-01-29
KR101920649B1 KR101920649B1 (ko) 2018-11-21

Family

ID=46879398

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137026786A KR101920649B1 (ko) 2011-03-24 2012-03-19 폴리머 함유 현상액

Country Status (7)

Country Link
US (1) US9753369B2 (ko)
EP (1) EP2690497A4 (ko)
JP (1) JP6048679B2 (ko)
KR (1) KR101920649B1 (ko)
SG (1) SG193931A1 (ko)
TW (1) TWI592772B (ko)
WO (1) WO2012128251A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6002554B2 (ja) * 2012-11-26 2016-10-05 富士フイルム株式会社 パターン形成方法、及び、これを用いる電子デバイスの製造方法
JP2014219487A (ja) * 2013-05-02 2014-11-20 富士フイルム株式会社 パターン形成方法、電子デバイス及びその製造方法、現像液
WO2015025665A1 (ja) * 2013-08-23 2015-02-26 日産化学工業株式会社 レジストパターンに塗布される塗布液及び反転パターンの形成方法
WO2015129405A1 (ja) 2014-02-26 2015-09-03 日産化学工業株式会社 レジストパターンに塗布されるポリマー含有塗布液
US10558119B2 (en) 2015-05-25 2020-02-11 Nissan Chemical Industries, Ltd. Composition for coating resist pattern
CN108027570A (zh) * 2015-09-11 2018-05-11 日产化学工业株式会社 包含含有乙烯基或(甲基)丙烯酰氧基的聚硅氧烷的抗蚀剂图案涂布用组合物
US11531269B2 (en) 2016-10-04 2022-12-20 Nissan Chemical Corporation Method for producing resist pattern coating composition with use of solvent replacement method

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2941960A1 (de) * 1979-10-17 1981-04-30 Hoechst Ag, 6000 Frankfurt Entwicklergemisch und verfahren zum entwickeln von von belichteten lichtempfindlichen kopierschichten
US4308340A (en) * 1980-08-08 1981-12-29 American Hoechst Corporation Aqueous 2-propoxyethanol containing processing composition for lithographic printing plates
JPH01159644A (ja) 1987-12-16 1989-06-22 Fuji Photo Film Co Ltd 水なしps版用現像液
EP0453610B1 (de) * 1990-04-27 1996-06-26 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer Resiststruktur
CA2048830A1 (en) 1990-08-21 1992-02-22 Wayne A. Mitchell Alkali metal ethyl benzene sulfonate containing developer composition
US6331373B1 (en) * 1995-01-26 2001-12-18 Nippon Shokubai Co., Ltd. Developer for electrostatic image
JP3623059B2 (ja) 1996-09-30 2005-02-23 ソニー株式会社 ソルダレジストの現像方法
TWI281101B (en) * 2000-02-28 2007-05-11 Mitsubishi Electric Corp Developing process, process for forming pattern and process for preparing semiconductor device using same
JP3779882B2 (ja) * 2000-02-28 2006-05-31 三菱電機株式会社 現像方法、パターン形成方法およびこれらを用いたフォトマスクの製造方法、半導体装置の製造方法
CN1199085C (zh) 2000-09-10 2005-04-27 富士写真胶片株式会社 卤化银彩色照相感光材料及图像形成方法
DE10131667B4 (de) 2001-06-29 2007-05-31 Infineon Technologies Ag Negativ Resistprozess mit simultaner Entwicklung und Silylierung
JP2004347983A (ja) 2003-05-23 2004-12-09 Chugai Photo Chemical Co Ltd 感光性組成物用現像液
US20070059650A1 (en) 2003-07-14 2007-03-15 Tatsusro Nagahara Developing solution for photosensitive composition and method for forming patterned resist film
JP2005115118A (ja) 2003-10-09 2005-04-28 Semiconductor Leading Edge Technologies Inc パターン形成方法
JP4016009B2 (ja) 2004-03-24 2007-12-05 株式会社東芝 パターン形成方法及び半導体装置の製造方法
JP2006011207A (ja) 2004-06-29 2006-01-12 Mitsubishi Paper Mills Ltd 銀塩平版印刷版の製版方法
JP4820640B2 (ja) 2005-12-20 2011-11-24 富士フイルム株式会社 平版印刷版の作製方法
JP2009244778A (ja) 2008-03-31 2009-10-22 Fujifilm Corp 平版印刷版原版及び平版印刷版の作製方法
EP2360529B1 (en) 2008-11-26 2016-08-24 FUJIFILM Corporation Method for manufacturing lithographic printing plate, developer for original lithographic printing plate, and replenisher for developing original lithographic printing plate
JP5112380B2 (ja) * 2009-04-24 2013-01-09 信越化学工業株式会社 パターン形成方法
JP2011033842A (ja) * 2009-07-31 2011-02-17 Fujifilm Corp 化学増幅型レジスト組成物によるパターン形成用の処理液及びそれを用いたパターン形成方法
JP5346755B2 (ja) 2009-09-24 2013-11-20 富士フイルム株式会社 平版印刷版の作製方法

Also Published As

Publication number Publication date
KR101920649B1 (ko) 2018-11-21
EP2690497A1 (en) 2014-01-29
EP2690497A4 (en) 2014-08-20
JP6048679B2 (ja) 2016-12-21
US9753369B2 (en) 2017-09-05
CN103460138A (zh) 2013-12-18
US20140038415A1 (en) 2014-02-06
SG193931A1 (en) 2013-11-29
TWI592772B (zh) 2017-07-21
TW201303526A (zh) 2013-01-16
WO2012128251A1 (ja) 2012-09-27
JPWO2012128251A1 (ja) 2014-07-24

Similar Documents

Publication Publication Date Title
US9170492B2 (en) Silicon-containing film-forming composition, silicon-containing film, and pattern forming method
JP6048679B2 (ja) ポリマー含有現像液
US8048615B2 (en) Silicon-containing resist underlayer coating forming composition for forming photo-crosslinking cured resist underlayer coating
KR101674703B1 (ko) 반전 패턴 형성 방법 및 폴리실록산 수지 조성물
JP5644339B2 (ja) レジスト下層膜形成用組成物、レジスト下層膜及びパターン形成方法
US9126231B2 (en) Insulation pattern-forming method and insulation pattern-forming material
JP5941559B2 (ja) 低誘電性光画像形成性組成物及びそれから製造した電子デバイス
KR20180118636A (ko) 실리콘 함유 조성물을 이용한 반도체 기판의 평탄화방법
WO2016111210A1 (ja) シリコン含有膜形成用組成物及び該組成物を用いたパターン形成方法
KR20180051519A (ko) 실리콘함유 평탄화성 패턴반전용 피복제
JPWO2019124514A1 (ja) 光硬化性シリコン含有被覆膜形成組成物
KR20190059902A (ko) 패턴반전을 위한 피복 조성물
US20130107235A1 (en) Pattern-forming method
JP5540509B2 (ja) 多層レジストプロセス用シリコン含有膜形成用組成物及びシリコン含有膜並びにパターン形成方法
KR20180053309A (ko) 비닐기 또는 (메트)아크릴옥시기함유 폴리실록산을 포함하는 레지스트패턴 도포용 조성물
JP7161059B2 (ja) ポジ型レジスト組成物およびそれを用いたレジストパターンの製造方法
WO2019198700A1 (ja) 半導体基板用プライマーおよびパターン形成方法
JP2014209264A (ja) ダマシンプロセス用絶縁パターン形成材料

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant