KR20140004062A - 정전 척 및 정전 척의 사용 방법들 - Google Patents

정전 척 및 정전 척의 사용 방법들 Download PDF

Info

Publication number
KR20140004062A
KR20140004062A KR1020137005720A KR20137005720A KR20140004062A KR 20140004062 A KR20140004062 A KR 20140004062A KR 1020137005720 A KR1020137005720 A KR 1020137005720A KR 20137005720 A KR20137005720 A KR 20137005720A KR 20140004062 A KR20140004062 A KR 20140004062A
Authority
KR
South Korea
Prior art keywords
disk
substrate
electrostatic chuck
control plate
electrode
Prior art date
Application number
KR1020137005720A
Other languages
English (en)
Other versions
KR101892911B1 (ko
Inventor
삼브후 엔. 로이
마틴 이 라이커
케이스 에이. 밀러
비제이 디. 파르케
스티븐 브이. 산소니
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140004062A publication Critical patent/KR20140004062A/ko
Application granted granted Critical
Publication of KR101892911B1 publication Critical patent/KR101892911B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Jigs For Machine Tools (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

정전 척 및 그 사용 방법이 본 명세서에 제공된다. 몇몇 실시예들에서, 정전 척은, 디스크로서, 기판을 위에 지지하는 제 1 면과, 상기 제 1 면과 반대이며 상기 디스크를 열 제어 판에 대해 선택적으로 커플링하기 위한 계면을 제공하는 제 2 면을 가진 디스크와, 상기 디스크에 대해 상기 기판을 정전기적으로 커플링하기 위해 상기 제 1 면에 인접하여 상기 디스크 내부에 배치된 제 1 전극과, 상기 열 제어 판에 대해 상기 디스크를 정전기적으로 커플링하기 위해 상기 디스크의 반대면에 인접하여 상기 디스크 내부에 배치된 제 2 전극을 포함할 수 있다. 몇몇 실시예들에서, 상기 제 2 전극은 상기 디스크를 가열하도록 구성될 수도 있다.

Description

정전 척 및 정전 척의 사용 방법들{ELECTROSTATIC CHUCK AND METHODS OF USE THEREOF}
본 발명의 실시예들은, 일반적으로, 정전 척들 및 그 사용 방법들에 관한 것이다.
정전 척(ESC)은 기판 지지체 상에 기판을 정전기적으로 유지하기 위해 흔히 사용된다. 통상적으로, ESC는 하나 또는 그 초과의 전극들이 그 내부에 배치된 세라믹 본체를 포함한다. 본 발명자들은, 통상의 ESC의 높은 열 관성(예컨대, 낮은 열 전달률)으로 인하여, ESC의 가열 및 냉각 속도가 상당히 제한되며, 이에 따라, ESC를 이용한 처리들의 효율을 제한한다는 것을 발견하였다.
따라서, 본 발명자들은 신속하게 가열 및 냉각될 수 있는 개선된 정전 척을 제공하였다.
정전 척들 및 그 사용 방법들에 대한 실시예들이 본 명세서에 제공된다. 정전 척 및 그 사용 방법이 본 명세서에 제공된다. 몇몇 실시예들에서, 정전 척은, 디스크로서, 기판을 위에 지지하는 제 1 면과, 상기 제 1 면과 반대이며 상기 디스크를 열 제어 판에 대해 선택적으로 커플링하기 위한 계면을 제공하는 제 2 면을 가진 디스크와, 상기 디스크에 대해 상기 기판을 정전기적으로 커플링하기 위해 상기 제 1 면에 인접하여 상기 디스크 내부에 배치된 제 1 전극과, 상기 열 제어 판에 대해 상기 디스크를 정전기적으로 커플링하기 위해 상기 디스크의 반대면에 인접하여 상기 디스크 내부에 배치된 제 2 전극을 포함할 수 있다. 몇몇 실시예들에서, 상기 제 2 전극은 상기 디스크를 가열하도록 구성될 수도 있다.
몇몇 실시예들에서, 기판 처리 방법은, 처리 챔버 내부에 배치된 정전 척의 디스크의 제 1 면에 기판을 클램핑하는 단계로서, 상기 제 1 면에 인접하여 상기 정전 척 내부에 배치된 제 1 전극에 전력을 제공함으로써 상기 기판을 클램핑하는 단계; 및 상기 제 1 면과 반대인 상기 디스크의 제 2 면과 상기 디스크에 커플링된 열 제어 판 사이에 배치된 계면을 통한 열 전도율을 선택적으로 증대시키거나 감소시킴으로써, 상기 디스크와 상기 열 제어 판 사이의 열 전달률을 제어하는 단계를 포함할 수 있다.
이하, 본 발명의 다른 추가적인 실시예들에 대해 설명한다.
첨부도면들에 도시된 본 발명의 예시적 실시예들을 참조하면, 위에서 약술하고 이하에 매우 구체적으로 설명한 본 발명의 실시예들을 이해할 수 있다. 그러나, 첨부도면들은 단지 본 발명의 전형적인 실시예들을 도시하고 있을 뿐이며, 본 발명은 다른 동등한 효과를 가진 실시예들을 포함할 수 있으므로, 그 범위를 제한하는 것으로 이해되어서는 아니됨을 유의하여야 한다.
도 1은 본 발명의 몇몇 실시예들에 따른 정전 척과 함께 사용하기에 적합한 처리 챔버를 도시하고 있다.
도 1a는 본 발명의 몇몇 실시예들에 따른 정전 척의 개략적인 측면도를 도시하고 있다.
도 2는 본 발명의 몇몇 실시예들에 따른 정전 척의 단면도를 도시하고 있다.
도 3는 본 발명의 몇몇 실시예들에 따른 정전 척의 디스크의 평면도를 도시하고 있다.
도 4a 및 도 4b는 본 발명의 몇몇 실시예들에 따른 디스크의 측면도들을 도시하고 있다.
도 5는 본 발명의 몇몇 실시예들에 따른 정전 척의 평면도를 도시하고 있다.
도 6은 본 발명의 몇몇 실시예들에 따른 정전 척과 함께 사용하기 위한 커플링을 도시하고 있다.
도 7 및 도 8은 본 발명의 몇몇 실시예들에 따른 정전 척과 함께 사용하기 위한 단자들을 도시하고 있다.
도 9는 본 발명의 몇몇 실시예들에 따른 정전 척의 일부의 부분 측단면도를 도시하고 있다.
이해를 용이하게 하기 위하여, 도면들에서 공통되는 동일한 요소들은 가능한 한 동일한 참조번호들을 사용하여 표시하였다. 도면들은 척도에 따라 도시되어 있지 않으며 명료함을 위해 단순화될 수도 있다. 일 실시예의 요소들과 특징들이 다른 언급 없이 다른 실시예들에서도 유리하게 통합될 수 있음을 고려하였다.
정전 척들 및 그 사용 방법들에 대한 실시예들이 본 명세서에 제공된다. 본 발명에 따른 장치는 위에 배치된 기판이 신속하게 가열 및 냉각됨과 동시에 신속하게 가열 및 냉각될 수 있으며, 이에 따라, 기판 처리에 있어서 증대된 처리량과 처리 유연성을 제공하는 정전 척을 유리하게 제공할 수 있다. 본 발명에 따른 정전 척은 처리시 정전 척과 기판의 열 팽창 차이들로 인한 마찰에 의해 유발되는 기판에 대한 손상을 더 유리하게 저감하거나 제거할 수 있다.
도 1은 본 발명의 몇몇 실시예들에 따른 플라즈마 처리 챔버의 개략적인 단면도이다. 몇몇 실시예들에서, 플라즈마 처리 챔버는 물리 기상 증착(PVD) 처리 챔버이다. 그러나, 정전 척들을 이용하는 다른 유형들의 처리 챔버들이 본 발명에 따른 장치와 함께 사용될 수도 있다.
챔버(100)는 기판 처리 중에 챔버 내부 체적(120)의 내부를 대기압 이하의 압력들로 유지하도록 적합하게 개조된 진공 챔버이다. 챔버(100)는 챔버 내부 체적(120)의 상반부에 위치되는 처리 공간(119)을 에워싸는 돔(104)으로 덮인 챔버 본체(106)를 포함한다. 챔버(100)는 다양한 챔버 구성 요소들과 이온화된 공정 물질 사이의 원하지 않는 반응을 방지하기 위해 그와 같은 다양한 챔버 구성 요소들을 에워싸는 하나 또는 그 초과의 차폐물(105)들을 또한 포함할 수 있다. 챔버 본체(106)와 돔(104)은 알루미늄과 같은 금속으로 제조될 수 있다. 챔버 본체(106)는 접지(115)에 대해 커플링을 통해 접지될 수 있다.
챔버 내부 체적(120) 내에는, 반도체 웨이퍼 또는 정전기적으로 유지될 수 있는 그러한 다른 기판과 같은 기판(S)을 지지 및 척킹하기 위한 기판 지지체(124)가 배치될 수 있다. 기판 지지체(124)는 (이하에 더 상세하게 설명한) 정전 척(150)과, 상기 정전 척(150)을 지지하기 위한 중공의 지지 샤프트(112)를 일반적으로 포함할 수 있다. 상기 중공의 지지 샤프트(112)는 정전 척(150)에 대해 공정 가스들, 유체들, 열 전달 유체들, 전력 등을 제공하기 위한 도관을 제공한다.
몇몇 실시예들에서, 중공의 지지 샤프트(112)는 (도 1에 도시된 바와 같은) 상부 처리 위치와 (도시되지 않은) 하부 이송 위치 사이로 정전 척(150)의 수직 운동을 제공하는 리프트 기구(113)에 커플링된다. 벨로우즈 조립체(110)는 중공의 지지 샤프트(112) 주위에 배치되며, 챔버(100) 내부로부터 진공 손실을 방지하면서 정전 척(150)의 수직 운동을 허용하는 가요성 시일을 제공하도록 정전 척(150)과 챔버(100)의 바닥면(126) 사이에 커플링된다. 또한, 벨로우즈 조립체(110)는 챔버 진공의 손실을 방지하는데 도움을 주도록 바닥면(126)과 접촉하는 O-링(165)과 접촉하고 있는 하부 벨로우즈 플랜지(164)를 포함한다.
중공의 지지 샤프트(112)는 정전 척(150)에 대해 유체 공급원(142), 가스 공급원(141), 척킹력 공급원(140) 및 하나 또는 그 초과의 RF 전력 공급원(117)들(예컨대, RF 플라즈마 전력 공급원 및/또는 RF 바이어스 전력 공급원)을 커플링하기 위한 도관을 제공한다. 몇몇 실시예들에서, RF 전력 공급원(117)은 RF 정합 네트워크(116)를 통해 정전 척에 커플링될 수 있다.
기판 리프트(130)는 샤프트(111)에 연결된 플랫폼(108) 상에 장착되는 리프트 핀(109)들을 포함할 수 있으며, 상기 샤프트는 기판 리프트(130)를 상승 및 하강시키기 위한 제 2 리프트 기구(132)에 커플링되며, 이에 따라, 기판("S")이 정전 척(150) 위에 놓이거나 정전 척으로부터 제거될 수 있다. 정전 척(150)은 리프트 핀(109)들을 수용하기 위한 (이하에 설명된) 관통공들을 포함한다. 벨로우즈 조립체(131)는 기판 리프트(130)의 수직 운동 중에 챔버 진공을 유지하는 가요성 시일을 제공하기 위해 기판 리프트(130)와 바닥면(126) 사이에 커플링된다.
챔버(100)는 진공 시스템(114)과 유체 소통하도록 커플링되며, 상기 진공 시스템은 챔버(100)를 배기하기 위해 사용되는 스로틀 밸브(미도시)와 진공 펌프(미도시)를 포함한다. 챔버(100)의 내부 압력은 스로틀 밸브 및/또는 진공 펌프를 조절함으로써 조정될 수 있다. 또한, 챔버(100)는 내부에 배치된 기판을 처리하기 위해 챔버(100)에 하나 또는 그 초과의 공정 가스들을 공급할 수 있는 공정 가스 공급원(118)과 유체 소통하도록 커플링된다.
작동시, 예컨대, 하나 또는 그 초과의 공정들을 실시하기 위해 챔버 내부 체적(120)에 플라즈마(102)가 생성될 수 있다. 공정 가스를 점화하여 플라즈마(102)를 생성하기 위하여, 챔버 내부 체적(120) 내의 하나 또는 그 초과의 (이하에 설명된) 전극들을 통해 플라즈마 전력 공급원(예컨대, RF 전력 공급원(117))으로부터의 전력을 공정 가스에 커플링함으로써 플라즈마(102)가 생성될 수 있다. 대안적으로 또는 조합하여, 다른 방법들에 의해 플라즈마가 챔버 내부 체적(120) 내에 형성될 수 있다. 몇몇 실시예들에서, 플라즈마로부터 나온 이온들을 기판(S)을 향해 끌어당기기 위해, 바이어스 전력 공급원(예컨대, RF 전력 공급원(117))으로부터 기판 지지체 또는 정전 척(150) 내부에 배치된 하나 또는 그 초과의 (이하에 설명된) 전극들에 대해 바이어스 전력이 제공될 수 있다.
몇몇 실시예들에서, 예컨대, 챔버(100)가 PVD 챔버인 경우, 기판(S) 상에 증착될 소오스 물질을 포함한 타겟(166)이 챔버 내부 체적(120) 내에서 기판 위에 배치될 수 있다. 타겟(166)은 챔버(100)의 접지된 전도성 부분에 의해, 예컨대, 유전체 아이솔레이터를 통한 알루미늄 어댑터에 의해 지지될 수 있다.
타겟(166)에 대해 음의 전압 또는 바이어스를 인가하기 위해, 제어가능한 DC 전력 공급원(168)이 커플링될 수 있다. 기판(100) 상에 음의 DC 바이어스를 유도하기 위해 RF 전력 공급원(117A, 117B)이 기판 지지체(124)에 커플링될 수 있다. 또한, 몇몇 실시예들에서, 음의 DC 셀프-바이어스가 공정 중 기판(S) 상에 형성될 수 있다. 다른 응용예들에서, 기판 지지체(124)는 접지되거나, 전기적으로 부유된 상태로 남을 수 있다. 몇몇 실시예들에서, 기판(S) 상에서 증착률의 반경 방향 분포를 용이하게 제어하기 위하여, 타겟(166)에 RF 전력을 인가하기 위해 RF 전력 공급원(170)이 챔버(100)에 커플링될 수도 있다. 작동시, 챔버(100) 내부에 생성된 플라즈마(102) 내의 이온들이 타겟(166)으로부터 나온 소오스 물질과 반응한다. 반응에 의해 타겟(166)은 소오스 물질의 원자들을 방출하게 되고, 상기 원자들은 기판(100)을 향해 전달되어 물질을 증착한다.
몇몇 실시예들에서, 회전가능한 마그네트론(미도시)이 타겟(166)의 배면에 인접하여 위치될 수 있다. 마그네트론은 타겟(166)의 표면에 대해 대체로 평행하고 근접한 자기장을 챔버(100) 내부에 생성하도록 구성된 복수의 자석들을 포함할 수 있으며, 상기 자기장은 전자들을 포획하여 국소적인 플라즈마 밀도를 높임으로써 스퍼터링률을 증대시킨다. 자석들은 챔버(100)의 상단 주위에 전자기장을 생성하며, 상기 전자기장을 회전시켜 공정의 플라즈마 밀도에 영향을 미침으로써 타겟(166)을 더 균일하게 스퍼터링하기 위해 회전한다.
도 1a는 본 발명의 몇몇 실시예들에 따른 정전 척(150)의 개략적인 측면도를 도시하고 있다. 일반적으로, 정전 척(150)은, 기판(S)을 위에 지지하는 제 1 면과 그 반대인 제 2 면을 가진 디스크를 포함한다. 제 1 전극(128)은 제 1 면에 인접하여 배치되며, 제 1 면에 기판(S)을 선택적으로 정전기적으로 유지하기 위해, 예컨대, 전도체(154)를 통해, 척킹력 공급원(140)에 커플링될 수 있다. 제 2 전극(138)은 제 2 면에 인접하여 배치되며, 디스크(122)에 인접하여 배치된 열 제어 판(134)에 디스크(122)를 선택적으로 정전기적으로 유지하기 위해, 예컨대, 전도체(152)를 통해, 척킹력 공급원(140)에 커플링될 수 있다. 척킹력 공급원(140)은, 예컨대, 약 500 내지 약 4000V의 적합한 전력으로 최대 약 4000V를 제공할 수 있는 하나 또는 그 초과의 DC 전력 공급원들일 수 있다. 예컨대, 더 작거나 더 큰 기판들을 유지하기 위해, 다른 구성들을 가진 정전 척들에 다른 크기들의 DC 전력이 사용될 수도 있다. 이하에 더 상세하게 설명하는 바와 같이, 정전 척에 가스 공급원(141)을 커플링하기 위해 도관(148)이 제공될 수 있다.
진공 피드쓰루(146)는 열 제어 판(134)(또는 다른 적당한 장소) 내부에 제공될 수 있으며, 상기 진공 피드쓰루는 처리 체적(119) 내부의 분위기와 처리 체적 외부의 분위기(예컨대, 중공의 샤프트(112) 내부와 챔버(100)의 외부) 사이의 격리를 유지하면서, 열 제어 판(134)에 대한 전도체(154, 154)들과 도관(148)의 관통을 가능하게 한다.
열 제어 판(134)은 적어도 부분적으로 열 전도성 물질로 제조될 수 있으며, 사용시 디스크(122)에 대한 및/또는 디스크로부터의 열 전달률을 용이하게 제어하기 위하여, 예컨대, 유체 공급원(142)에 커플링된 도관(158)을 통해, 열 전달 유체가 흐르도록 그 내부에 배치된 하나 또는 그 초과의 채널들을 가질 수 있다. 열 제어 판(134)은 적어도 부분적으로 전기 전도성 물질로 제조될 수 있으며, 사용시 처리 체적(119) 내부의 플라즈마에 대해 RF 전력을 커플링하기 위한 전극으로서 작용하도록, 예컨대, 전도체(156)를 통해, RF 전력 공급원(117)에 커플링될 수 있다. RF 전력 공급원(117)은, 예컨대, 약 2㎒ 내지 약 60㎒의 적합한 주파수로, 예컨대, 최대 약 2000W의 전력을 제공할 수 있다.
기판 지지체(124) 내의 다른 전기 전도성 구성 요소들로부터 열 제어 판(134)을 전기적으로 격리시키기 위해, 아이솔레이터(136) 위에 열 제어 판(134)이 배치될 수 있다. 접지 쉘(144)이 정전 척(150)(또는 기판 지치체(124)) 주위에 제공될 수 있으며, 처리 체적(119)으로부터 접지까지 RF 복귀 경로를 제공하기 위해 접지에 커플링될 수 있다.
정전 척은 본 명세서에 제공된 교시들에 따라 다양한 구성들을 가질 수 있다. 예컨대, 도 2는 본 발명의 몇몇 실시예들에 따른 정전 척의 단면도를 도시하고 있다. 도 2를 참조하면, 정전 척(150)은 일반적으로 열 제어 판(204) 위에 배치된 디스크(202)를 포함한다. 디스크(202)는 열 제어 판(204)과 반대로 배치되어 기판(S)을 지지하는 기판 지지면을 갖는다. 몇몇 실시예들에서, 열 제어 판(204)은 중공의 베이스(212) 위에 배치될 수 있으며, 상기 중공의 베이스는 중공의 지지 샤프트(112)에 커플링되거나 중공의 지지 샤프트에 의해 지지된다. 몇몇 실시예들에서, 열 제어 판(204)은 지지 하우징(210) 내부에 배치된 절연층(208) 위에 추가적으로 안착될 수 있다. 그러한 실시예들에서, 지지 하우징(210)은 절연층(208)과 열 제어 판(204)에 대해 기계적인 지지를 제공할 수 있다. 절연층(208)은 열 제어 판(204)과 지지 하우징(210) 사이에 전기적 또는 라디오 주파수(RF) 절연을 제공할 수 있다. 몇몇 실시예들에서, 열 제어 판(204)은 제조 과정 중에 함께 결합된 2개 또는 그 초과의 판들로 구성된다. 가능한 제 2 연결부로서 판(217)이 도시되어 있다. 판(217)이 존재할 경우, 판(217)은 정전 척(150)에 대해 중공의 지지 샤프트(112)를 커플링하기 위한 계면을 제공한다.
몇몇 실시예들에서, 하우징(224)에 커플링된 도관(229)이 중공의 지지 샤프트(112) 내부에 배치된다. 하우징(224)은 적절한 커플링을 제공하기에 적합한 임의의 수단을 통해 열 제어 판(204)에 커플링될 수 있다. 예컨대, 몇몇 실시예들에서, 하우징(224)은 열 제어 판(204)에 하우징(224)을 커플링하기 위해 패스너(예컨대, 스크류, 볼트, 핀 등)를 수용하도록 구성된 관통공(221)을 가진 플랜지(223)를 포함한다. 몇몇 실시예들에서, 하우징(224)과 함께 도관(229)은 열 제어 판(204)에 적절한 RF 전력을 전달하는 전도체(156)로서 활용될 수 있다. 도관(229)과 함께 하우징(224)은 열 제어 판(204)에 대해 RF 바이어스 전력 또는 다른 유틸리티들을 전송하기 위한 공간을 제공할 수도 있다. 하우징(224)이 제공되는 경우, 하우징(224)은 열 제어 판(204)과 디스크(202)의 영역들에 대해 공정 가스들, 열 전달 유체들 또는 전력을 선택적으로 분배할 수 있도록 구성된 복수의 (이하에 설명된) 관통공들 또는 접합부(미도시)들을 포함한 (이하에 설명된) 매니폴드(235)를 수납할 수 있다. 몇몇 실시예들에서, 공정 가스들, 열 전달 유체들 또는 전력이 각각의 도관들(예컨대, 가스 공급관(236, 234)들, 전기 도관(232))에 커플링된 공급원(예컨대, 도 1과 관련하여 전술한 RF 플라즈마 공급원(117, 117A), 척킹력 공급원(140), 가스 공급원(141), 유체 공급원(142))들에 의해 공급될 수 있다. 몇몇 실시예들에서, 가스 공급원(141)은 단일의 가스를 제공하거나, 몇몇 실시예들에서, 하나 초과의 가스를 제공할 수 있다. 몇몇 실시예들에서, 가스 공급원(141)은 정전 척(150)의 분리된 구역들에 대해, 예컨대, 디스크(202)와 기판(S) 사이의 계면(216) 또는 디스크(202)와 열 제어 판(204) 사이의 계면(218)에 대해, 가스들을 선택적으로 제공하도록 구성될 수 있다.
몇몇 실시예들에서, 기판 지지체(124)의 노출된 부분들을 덮기 위해, 프로세스 키트, 예컨대, 도 2에 도시된 바와 같은 증착 링(206)이 기판 지지체(124) 위와 기판(S) 주위에 배치될 수 있다. 예컨대, 몇몇 실시예들에서, 증착 링(206)은 열 제어 판(204)의 레지(228) 상에 배치될 수 있다. 증착 링(206)은, 기판(S)의 형상과 대체로 대응하나 기판과 직접 접촉하지는 않으면서도 통상적으로 기판(S) 아래로 연장하는 중앙 개구를 갖는다. 증착 링은 디스크(202)를 대체로 에워싸며, 디스크(202)의 외측 에지와 증착 링(206)의 내측 에지 사이에 좁은 갭이 규정될 수 있다. 증착 링(206)은 (예컨대, 플라즈마, 또는 기판(S)으로부터 스퍼터링 또는 다른 처리에 의해 나온 부산물들에 의한) 처리로 인한 손상으로부터 기판 지지체(124)의 덮인 부분들을 보호한다. 증착 링(206)은 임의의 처리와 양립할 수 있는 전기 절연 물질로 제조될 수 있다. 예컨대, 몇몇 실시예들에서, 증착 링(206)은 세라믹, 알루미늄 질화물(AlN), 실리콘 질화물(SiN) 등과 같은 유전체 물질로 제조될 수 있다.
몇몇 실시예들에서, 도 2 내지 도 7과 관련하여 이하에 더 상세하게 설명한 디스크(202)는, 일반적으로, 기판-대향면(220)과 이와는 대체로 반대인 열 제어 판-대향면(222)을 가진 본체(245)를 포함한다. 몇몇 실시예들에서, 기판-대향면(220)은 디스크(202)와 기판(S) 사이의 열 전달을 용이하게 하기 위하여 디스크(202)와 기판(S) 사이의 계면(216)에, 예컨대, 헬륨(He), 아르곤(Ar) 등과 같은 불활성 가스와 같은 가스의 흐름, 또는 다른 열 전달 유체를 제공할 수 있도록 하나 또는 그 초과의 제 1 관통공(239)들에 커플링된 하나 또는 그 초과의 제 1 그루브(238)들을 포함할 수 있다. 열 전달 가스는 하나 또는 그 초과의 제 1 그루브(238)들과 유체 소통하는 디스크(202) 내의 하나 또는 그 초과의 제 1 관통공(239)들을 통해 상기 하나 또는 그 초과의 제 1 그루브(238)들에 전달될 수 있다. 또한, 몇몇 실시예들에서, 열 제어 판-대향면(222)은 디스크(202)와 열 제어 판(204) 사이의 계면(218)에 가스의 흐름 또는 다른 열 전달 유체를 제공할 수 있도록 하나 또는 그 초과의 제 2 관통공(241)들에 커플링된 하나 또는 그 초과의 제 2 그루브(240)들을 포함할 수 있다.
디스크(202)는 적절한 지지와 충분한 열 전달 특성들을 제공하기에 적합한 임의의 치수들과 형상을 갖도록 제조될 수 있다. 예컨대, 몇몇 실시예들에서, 디스크(202)는 기판(S)의 두께와 유사한 두께, 예컨대, 기판(S) 두께의 최대 약 3배의 두께를 가질 수 있다. 몇몇 실시예들에서, 기판(S)이 반도체 웨이퍼인 경우, 디스크(202)는 약 1.0㎜ 내지 약 3㎜, 또는 약 1.5㎜의 두께를 포함할 수 있다. 몇몇 실시예들에서, 디스크(202)는 기판-대향면(220)과 열 제어 판-대향면(222)에 대해 실질적으로 수직한 외측 에지(221)를 가질 수 있다. 대안적으로, 몇몇 실시예들에서, 외측 에지(221)는 증착 링(206)과 디스크(202) 사이의 갭을 통해 처리 체적으로부터 기판 지지체(124)의 구성 요소들까지의 수직 시선을 제거하기 위해 증착 링(206)의 대응하는 사선형 에지(227)와 인터페이스로 접속하도록 구성된 사선형 에지(226)를 가질 수 있으며, 이에 따라, 처리시 기판 지지체(124)의 구성 요소들에 대한 플라즈마 유도 손상을 저감하거나 방지할 수 있다.
디스크(202)는 처리시 디스크(202)의 움직임을 방지하고 적절한 커플링을 제공하기에 적합한 임의의 수단을 통해 열 제어 판(204)에 커플링될 수 있다. 몇몇 실시예들에서, 디스크(202)는 정전기 인력을 통해 제거가능하게 커플링된다. 그러한 실시예들에서, 디스크(202)는 열 제어 판-대향면(222)에 인접하여 본체(245) 내부에 배치된 하나 또는 그 초과의 (이하에 설명된) 전극들을 포함한다. 척킹력, 예컨대, DC 전압이 전력 공급원(예컨대, 도 1에서 설명한 척킹력 공급원(140))으로부터 중공의 지지 샤프트(112) 내부에 배치된 하나 또는 그 초과의 전기 도관(232)들을 통해 전극에 공급될 수 있으며, 이에 따라, 열 제어 판(204)에 대해 디스크(202)를 커플링하기에 충분한 정전기 인력을 생성할 수 있다.
대안적으로 또는 조합하여, 몇몇 실시예들에서, 디스크(202)는, 예컨대, 볼트들, 스크류들, 캠들, 클램프들, 스프링들 등과 같은 기계적 패스너들에 의해, 열 제어 판(204)에 대해 기계적으로 커플링될 수 있다. 몇몇 실시예들에서, 도 6과 관련하여 하기한 바와 같이, 각각의 패스너(예컨대, 볼트, 스크류, 캠 등)들과 인터페이스로 접속하도록 복수의 관통공(230)들(1개만 도시됨)이 디스크(202)에 제공될 수 있다.
열 제어 판(204)은 디스크(202)로부터 열 제어 판(204)으로 적절한 열 전달을 제공하기에 적합한 임의의 물질을 포함할 수 있다. 예컨대, 몇몇 실시예들에서, 열 제어 판(204)은 알루미늄, 니켈 등과 같은 금속으로 제조될 수 있다. 몇몇 실시예들에서, 디스크(202)로부터 열 제어 판(204)으로의 열 전달을 더 용이하게 하도록 열 전달 유체를 순환시키기 위해, 열 제어 판(204)은 그 내부에 형성된 하나 또는 그 초과의 채널(240)들을 포함할 수 있다. 몇몇 실시예들에서, (판(217)과 함께 도시된 바와 같이) 열 제어 판(204)은 약 10 내지 약 30㎜의 두께를 가질 수 있다.
절연층(208)은 처리시 적절하고 안정적인 지지를 제공하면서도 전기 절연을 제공하기에 적합한 임의의 전기 절연 물질을 포함할 수 있다. 예컨대, 몇몇 실시예들에서, 절연층(208)은 유전체 물질, 예컨대, 세라믹, 알루미늄 질화물(AlN), 실리콘 질화물(SiN) 등을 포함할 수 있다. 절연층(208)은 지지 하우징(210)의 내부에 배치된다. 지지 하우징(210)은 절연층(208)에 대해 기계적인 지지를 제공하며, 금속으로, 예컨대, 알루미늄으로 제조될 수 있다. 지지 하우징(210)이 전기 전도성 금속으로 제조된 실시예들에서, 지지 하우징(210)은, 예컨대, 전도성 접속부를 통해, (전술한 바와 같이) 챔버(100)의 접지된 부분에 접지될 수 있다.
도 3을 참조하면, 디스크(202)는 사용되는 특정 처리 챔버, 실시되는 공정 또는 처리되는 기판에 적합한 임의의 치수들을 가질 수 있다. 예컨대, 300㎜ 반도체 웨이퍼가 처리되고 있는 실시예들에서, 디스크(202)는 약 270 내지 약 320㎜, 또는 몇몇 실시예들에서, 약 290㎜의 직경(306)을 가질 수 있다.
몇몇 실시예들에서, 디스크(202)는, 예컨대, 디스크(202)를 열 제어 판에 장착하거나, 디스크(202) 위에 배치된 기판에 가스를 제공하거나, 리프트 핀들이 디스크(202)의 표면으로부터 기판을 상승 및 하강시킬 수 있도록 하기 위한 복수의 관통공들을 포함할 수 있다. 예컨대, 몇몇 실시예들에서, 디스크(202)는 열 제어 판(204)에 디스크(202)를 커플링할 수 있도록 하는 복수의 장착공(310A 내지 310C)들을 포함할 수 있다. 그러한 실시예들에서, 디스크(202)는 (예컨대, 도 6과 관련하여 이하에 설명한 바와 같은) 일련의 클램프 스크류들 또는 볼트들에 의해 열 제어 판(204)에 커플링될 수 있다. 몇몇 실시예들에서, 장착공(310A 내지 310C)들은 디스크(202)의 표면 전체에 걸쳐서 동일한 간격들로 위치되거나 그룹화될 수 있다. 예컨대, 몇몇 예시적인 비한정적 실시예들에서, 도 3에 도시된 바와 같이, 각각 3개의 장착공(310A 내지 310C)들로 이루어진 6개의 그룹(308A 내지 308F)들이 디스크(202)의 주위에 60°간격으로 배치될 수 있다. 디스크(202)와 열 제어 판(204) 사이의 접속부 갯수와 분포가 다른 구성들이 또한 활용될 수 있다.
몇몇 실시예들에서, 디스크(202)는 디스크(202) 위에 배치된 기판의 배면과 접촉하도록 (예컨대, 전술한 제 1 그루브들을 통해) 디스크(202)와 기판(S) 사이의 기판 계면까지 (전술한 바와 같은) 가스 공급원(141)으로부터 가스 흐름을 제공하기 위한 하나 또는 그 초과의 가스 홀(302)들을 포함할 수 있다. 기판 계면에 제공되는 가스 압력의 제어는 기판의 가열 및 냉각에 대한 제어를 가능하게 한다. 몇몇 실시예들에서, 도 3에 도시된 바와 같이, 가스 홀(302)은 디스크(202)의 중앙에 배치될 수 있다. 도 3에는 단지 1개의 가스 홀(302)만 도시되어 있으나, 원하는 대로 가스를 분산시키기 위해 임의의 갯수의 가스 홀(302)들이 제공될 수 있다.
몇몇 실시예들에서, 디스크(202)는 리프트 핀들(예컨대, 도 1에서 설명한 챔버(100)의 기판 리프트(130)에 커플링된 리프트 핀(109)들)이 자유롭게 통과할 수 있도록 구성된 복수의 리프트 핀 홀(304A 내지 304C)들을 더 포함할 수 있다. 따라서, 리프트 핀들은 기판의 배치와 제거가 가능하도록 하기 위해 디스크(202) 위에 배치된 기판의 표면과 제어가능하게 인터페이스로 접속할 수 있다. 리프트 핀 홀(304A 내지 304C)들은 기판에 대해 균일한 지지를 제공하기에 적합한 임의의 구성으로 배치될 수 있다. 예컨대, 몇몇 실시예들에서, 도 3에 도시된 바와 같이, 각각의 리프트 핀 홀(304A 내지 304C)들은 디스크 주위에 대략 120°의 간격들로 배치될 수 있다. 또한, 몇몇 실시예들에서, 디스크(202)의 크기나 처리되고 있는 기판의 크기에 부합하도록 디스크(202)의 중심으로부터의 거리가 변화될 수 있다. 예컨대, 300㎜ 반도체 웨이퍼가 처리되고 있는 실시예들에서, 리프트 핀 홀(304A 내지 304C)들은 230 내지 280㎜ 사이의 볼트 서클을 중심으로 배치될 수 있다.
몇몇 실시예들에서, 도 9에 도시된 바와 같이, 기판(S)을 상승 및 하강시키기 위해 기판(S)의 배면으로 리프트 핀(미도시)을 가이드할 수 있도록 리프트 핀 가이드(902)가 제공될 수 있다. 몇몇 실시예들에서, 리프트 핀 가이드(902)는 일반적으로 열 제어 판(204)(및, 판(217)이 존재하는 경우 그 판(217)) 내부에 배치될 수 있다. 리프트 핀 가이드는 리프트 핀을 수용하기 위한 개구(904)를 포함한다. 리프트 핀이 통과할 수 있도록 대응하는 개구(906)가 격리층(208)(및 임의의 다른 중간층들) 내부에 배치될 수 있다.
리프트 핀이 통과할 수 있도록 하고 리프트 핀이 기판(S)의 배면에 접촉할 수 있도록 하기 위해, 디스크(202)에 개구(910)가 제공된다. 몇몇 실시예들에서, 디스크(202)의 개구(910)는 리프트 핀 가이드(902)의 상부로부터 연장하는 돌출된 립(912)을 수용할 수 있을 정도로 충분히 클 수 있다. 돌출된 립(912)은, 예컨대, 조립시 또는 디스크(202)가 열 제어 판(204)에 정전기적으로(또는 다른 방식으로) 클램핑되지 않을 때, 디스크(202)의 원하지 않는 움직임을 방지하고 디스크(202)의 정렬을 용이하게 하도록 위치결정 및 유지 특징부를 제공하기 위해 개구(910) 속으로 연장할 수 있다.
몇몇 실시예들에서, 열 제어 판(204) 내부에 리프트 핀 가이드를 유지할 수 있도록 하고, 및/또는 처리 챔버의 처리 영역으로부터 디스크(202)의 개구(910)를 통해 처리시 RF 열점일 수 있는 열 제어 판(204)까지 더 긴 경로를 제공하기 위해, 리프트 핀 가이드(902)의 상부에 인접하여 플랜지(908)가 제공될 수 있으며, 이에 따라, 발생할 수 있는 임의의 아킹을 방지하거나 제한한다. 열 제어 판(204)과 디스크(202)의 외측 에지 사이에서, 증착 링(206)에 인접하여 반경 방향 내측으로, 열 제어 판(204) 위에 격리 링(914)이 제공될 수 있다. 격리 링(914)은 적당한 유전체 물질로 제조될 수 있으며, 발생할 수 있는 임의의 아킹을 방지하거나 제한하기 위해, 처리 체적과 열 제어 판, 또는 다른 RF 고온 구성 요소들 사이에 길고 및/또는 불연속적인 경로를 제공할 수도 있다. 처리시 원하지 않는 증착으로부터 처리 챔버의 부분들 및/또는 그 구성 요소들을 더 보호하기 위해, 증착 링(206) 위에 증착 차폐물(916)이 제공될 수 있다.
도 4A 및 도 4B에서 디스크(202)의 단면도를 참조하면, 몇몇 실시예들에서, 디스크(202)는 일반적으로 베이스 층(402)과 2개의 유전체 층(404, 410)들(예컨대, 제 1 유전체 층(404)과 제 2 유전체 층(410)) 사이에 배치된 2개 또는 그 초과의 전극(406, 408)들(2개가 도시됨)을 포함할 수 있다. 몇몇 실시예들에서, 베이스 층(402)은 디스크(202)의 형상과 특징들(예컨대, 전술한 관통공들 또는 그루브들)을 형성하기 위해 적합한 형판을 제공하기에 적합한 임의의 물질과 기하학적 구조를 포함할 수 있다. 예컨대, 몇몇 실시예들에서, 베이스 층(402)은 탄소계 물질, 예컨대, 그래파이트를 포함할 수 있다. 몇몇 실시예들에서, 베이스 층(402)은 열분해 붕소 질화물(PBN), 폴리이미드, (실리콘 웨이퍼와 같은) 실리콘 등과 같은 다른 처리와 호환가능한 유전체 물질들을 포함할 수 있다. 베이스 층(402)은 원하는 치수들을 가진 디스크(202)를 생성하기에 적합한 임의의 치수들을 가질 수 있다. 예컨대, 몇몇 실시예들에서, 베이스 층(402)은 약 0.5 내지 약 2.5㎜의 두께를 가질 수 있으며, 몇몇 실시예들에서, 약 1.8㎜의 두께를 가질 수 있다.
몇몇 실시예들에서, 베이스 층(402)과 제 1 유전체 층(404)은 동일한 층일 수 있으며, 예컨대, 어느 한 층이 선택적인 층으로 간주될 수 있으며, 단일의 유전체 층이 베이스 층(402)과 유전체 층(404)으로서 제공될 수 있다. 그러한 실시예들에 대해 적합한 유전체 물질들에는 열분해 붕소 질화물, 폴리이미드 등이 포함된다.
베이스 층(402)은 원하는 치수들과 특징부들을 가진 베이스 층(402)을 형성하기에 적합한 임의의 수단에 의해 제조될 수 있다. 예컨대, 베이스 층(402)은 특징부들을 형성하도록 물리적 처리(예컨대, 분말 압착, 압출)에 의해 성형되어 기계가공될 수 있다. 대안적으로, 베이스 층(402)은 특징부들을 형성하도록 증착 처리를 통해 제조된 후 에칭될 수 있다.
몇몇 실시예들에서, 베이스 층(402) 위에 제 1 유전체 층(404)이 배치될 수 있다. 제 1 유전체 층(404)은 디스크(202)에 대해 기계적인 지지를 제공하고 열 전달이 가능하도록 높은 열 전도성을 제공하기 적합한 임의의 유전체 물질일 수 있다. 예컨대, 몇몇 실시예들에서, 제 1 유전체 층(404)은 붕소 질화물(BN)을 포함하거나, 몇몇 실시예들에서, 열분해 붕소 질화물(PBN)을 포함할 수 있다. 제 1 유전체 층(404)은 원하는 두께로 컨포멀 층을 제공하기에 적합한 임의의 수단에 의해 형성될 수 있다. 예컨대, 제 1 유전체 층(404)은 화학 기상 증착 처리와 같은 증착 처리에 의해 약 0.05 내지 약 0.40㎜의 두께로 형성될 수 있다.
몇몇 실시예들에서, 제 1 유전체 층(404) 위에 2개 또는 그 초과의 전극(406, 408)들이 배치될 수 있다. 제 1 전극(406)은 기판-대향면(220)에 인접하여 배치될 수 있으며, 제 2 전극(408)은 열 제어 판-대향면(222)에 인접하여 배치될 수 있다. 2개 또는 그 초과의 전극(406, 408)들 각각은, 각각의 전극(406, 408)에 커플링된 (예컨대, 도 7 및 도 8과 관련하여 이하에 설명된) 단자와 전도체(예컨대, 412, 414)를 통해 AC 전력 공급원 또는 DC 전력 공급원(예컨대, 도 1의 전력 공급원(140)) 중 적어도 하나에 독립적으로 전기적으로 커플링될 수 있다. 몇몇 실시예들에서, 2개 또는 그 초과의 전극(406, 408)들 각각은, 예컨대, 도 7과 관련하여 이하에서 설명된 단자(702) 또는 도 8과 관련하여 이하에서 설명된 단자(814)와 같이, 디스크(202) 내에 배치된 관통공(미도시) 내부에 배치된 단자를 통해 각각의 전력 공급원에 커플링될 수 있다. 예컨대, 몇몇 실시예들에서, 전극(406, 408)들은 도 4b에 매우 명확하게 도시된 바와 같이 베이스(402)(또는, 유전체 층(404)이 존재하는 경우 그 유전체 층(404)) 상에 배치된 패턴화된 전극들일 수 있다. 디스크(202)의 기판-대향면(220) 부근의 전극(406)은 베이스(402)를 관통하여 배치된 전도체에 의해 전력 공급원에 커플링될 수 있다. 예컨대, 베이스(402)를 관통하여 개구가 형성될 수 있다. 개구는 전도성 물질로 코팅되거나 및/또는 충전될 수 있으며, 베이스(402)의 반대면 상에 배치된 콘택트에, 예컨대, 납땜으로 커플링될 수 있다.
상기 2개 또는 그 초과의 전극(406, 408)들은, 예컨대, 금속 또는 금속 합금과 같은 임의의 적당한 전기 전도성 물질로 제조될 수 있다. 또한, 상기 2개 또는 그 초과의 전극(406, 408)들은 임의의 형상일 수 있으며, 예컨대, 디스크들, 링들, 쐐기들, 스트립들, 패턴화된 전기 트레이스 등일 수 있다. 상기 2개 또는 그 초과의 전극(406, 408)들은 증착, 도금, 인쇄 등과 같은 임의의 적당한 방식으로 제조될 수 있다. 몇몇 실시예들에서, 상기 2개 또는 그 초과의 전극(406, 408)들 중 어느 하나 또는 모두는 기판-대향면(220) 및/또는 열 제어 판-대향면(222)에 인접하여 배치된 1개를 초과하는, 예컨대 2개의 전극들을 포함할 수 있다. 예컨대, 양극 척이 제공되는 몇몇 실시예들에서, 제 1 전극(406)은 2개의 반원형 또는 "D"자형 판 전극들을 포함할 수 있으며, 각각의 판 전극은 전력 공급원의 하나의 단자에 부착된다. 몇몇 실시예들에서, 제 2 전극(408)도 2개의 전극들을 포함할 수 있다. 다른 전극 구성들이 또한 활용될 수 있다.
상기 2개 또는 그 초과의 전극(406, 408)들 중 어느 하나 또는 모두는 가열 전극 및/또는 척킹 전극으로서 선택적으로 기능하도록 구성될 수 있다. 예컨대, 몇몇 실시예들에서, DC 전력이 제 1 전극(406)에 인가될 수 있으며, 이에 따라, 기판-대향면(220)에 전하를 생성하고, 반대로 하전된 기판(S)에 대해 인력을 생성함으로써, 디스크(202)에 대한 기판(S)의 정전기적 척킹을 가능하게 한다. 몇몇 실시예들에서, AC 전력이 제 2 전극(408)에 인가될 수 있으며, 이에 따라, 제 2 전극(408)의 저항으로 인한 열을 생성함으로써, 디스크(202)의 가열을 가능하게 한다. AC 전력은 최대 약 600℃로 정전 척을 가열하기에 충분할 수 있다. 예컨대, AC 전력 공급원은 제 2 전극(408)에 약 110 내지 약 208VAC를 공급할 수 있다. 몇몇 실시예들에서, 저항 가열 요소들의 저항을 모니터링하고, 요소의 온도에 비례하여 저항이 변한다는 저항 요소의 물리적 특성들에 근거하여 최종 온도를 계산함으로써, 사용중, 정전 척 온도가 모니터링될 수 있다.
또한, 몇몇 실시예들에서, 기판(S) 상에 바이어스를 발생시키거나 및/또는 플라즈마를 형성하도록 챔버(즉, 전술한 챔버(100))에 RF 전력을 제공하기 위해, 상기 2개 또는 그 초과의 전극(406, 408)들 중 하나 또는 모두에 RF 전력이 인가될 수 있다. 예컨대, 전극(406, 408)들 중 어느 하나에 RF 전력을 제공하기 위해, 도 1과 관련하여 전술한 RF 전력 공급원(117)(또는 그와 유사한 RF 전력 공급원)이 사용될 수 있다.
몇몇 실시예들에서, 제 1 전극(406), 제 2 전극(408) 또는 이들 모두를 가열 전극 및 척킹 전극으로서 동시에 활용할 수 있도록 하기 위하여, 상기 2개 또는 그 초과의 전극(406, 408)들 중 하나 또는 모두에 DC 전력과 AC 전력 모두가 동시에 인가될 수 있다. 예컨대, 그러한 실시예들에서, 기판-대향면(200)에 대해 기판을 정전기적으로 척킹할 수 있도록 DC 전력이 제 1 전극(406)에 인가될 수 있으며, 디스크(202)를 가열하고 열 제어 판(204)에 대해 디스크(202)를 정전기적으로 척킹하기 위해 DC 전력과 AC 전력이 동시에 제 2 전극(408)에 인가될 수 있다.
몇몇 실시예들에서, 상기 2개 또는 그 초과의 전극(406, 408)들 위에 제 2 유전체 층(410)이 배치될 수 있다. 제 2 유전체 층(410)은 디스크(202)에 대해 기계적인 지지를 제공하고 열 전달이 가능하도록 높은 열 전도성을 제공하기 적합한 임의의 유전체 물질일 수 있다. 몇몇 실시예들에서, 제 2 유전체 층(410)은 제 1 유전체 층(402)과 동일한 물질을 포함하거나, 몇몇 실시예들에서, 그와 상이한 물질을 포함할 수 있다. 예컨대, 몇몇 실시예들에서, 제 2 유전체 층(410)은 붕소 질화물(BN)을 포함하거나, 몇몇 실시예들에서, 열분해 붕소 질화물(PBN)을 포함할 수 있다. 몇몇 실시예들에서, 제 2 유전체 층(410)은 원하는 두께로 컨포멀 층을 제공하기에 적합한 임의의 수단에 의해 형성될 수 있다. 예컨대, 제 2 유전체 층(410)은 화학 기상 증착 처리와 같은 증착 처리에 의해 약 0.02 내지 약 0.30㎜의 두께로 형성될 수 있다. 몇몇 실시예들에서, 유전체 층(410)의 두께는 쿨롬 정전 척 또는 존슨-리벡 정전 척으로서 의도된 용도에 기초하여 선택될 수 있다. 예컨대, 쿨롬 정전 척이 요구되는 몇몇 실시예들에서, 유전체 층(410)의 두께는 세라믹 물질들에 대해 약 0.050 내지 약 0.300㎜이거나, 폴리이미드 물질들에 대해 약 0.005 내지 약 0.003인치(약 0.0127 내지 약 0.0762㎜)일 수 있다. 존슨-리벡 정전 척이 요구되는 몇몇 실시예들에서, 유전체 층(410)의 두께는 세라믹 물질들에 대해 최대 약 1㎜일 수 있다.
전술한 특성들에 부가하여, 디스크(202)를 제조하기 위해 선택되는 물질들의 다른 또는 추가적인 특성들이 본 발명에 유용할 수 있다. 예컨대, 몇몇 실시예들에서, 디스크(202)의 전체 열 팽창 계수는 그 위에 배치된 기판(예컨대, 도 1에서 설명한 기판(S))의 열 팽창 계수와 실질적으로 유사할 수 있다. 실질적으로 유사한 열 팽창 계수들을 제공함으로써, 가열되었을 때, 기판과 디스크(202)는 모두 실질적으로 유사한 속도로 팽창하며, 이에 따라, 기판 사이의 마찰을 저감하여, 가열될 때 기판에 대한 손상을 저감한다.
도 5를 참조하면, 몇몇 실시예들에서, 매니폴드(235)는 복수의 포트들(예컨대, AC 포트(508)들과 DC 포트(510)들)과 입구들/출구들(예컨대, 웨이퍼 가스 입구(514), 디스크 가스 입구(502), 냉각 유체 입구(506) 및 출구(509))을 통해 (전술한) 디스크와 (전술한) 열 제어 판에 공정 가스들, 전력, 열 전달 유체들 등을 선택적으로 제공하도록 구성될 수 있다. 예컨대, 몇몇 실시예들에서, (전술한) 열 제어 판에 열 전달 유체(예컨대, 물)의 흐름을 전달하기 위해, 2개 또는 그 초과의 수관(507)들(2개가 도시됨)이 냉각 유체 입구(506)와 냉각 유체 출구(509)를 가진 판(516)에 커플링될 수 있다. 열 전달 유체의 누설을 방지하기 위해, 입구(506)와 출구(509) 각각의 주위에 O링(518)이 배치될 수 있다. 또한, 정전 척(105)의 다른 영역들로 열 전달 유체가 누설되는 것을 더 방지하기 위해, 판(516)의 에지에 인접하여 O링이 배치될 수 있다. 또한, 몇몇 실시예들에서, 누설된 열 전달 유체를 제거하기 위해 누출수 배출구(509)가 판(516)에 커플링될 수도 있다.
몇몇 실시예들에서, 계면(220) 또는 (전술한) 계면(222)에 열 전달 가스들을 제공하기 위해, 가스 입구(514)가 매니폴드(235)의 중앙에 인접하여 배치될 수 있다.
몇몇 실시예들에서, 매니폴드(235)는 디스크에 전력(예컨대, RF 전력, AC 전력 또는 DC 전력)을 제공하도록 구성된 2개 또는 그 초과의 (4개가 도시된) 전기 포트(508, 510)들을 더 포함할 수 있다. 예컨대, 몇몇 실시예들에서, 매니폴드(235)는 디스크와 그 위에 배치된 기판을 가열할 수 있도록 하기 위해 (전술한) 디스크 내부에 배치된 하나 또는 그 초과의 전극들에 대해 AC 전력을 제공하는 2개의 AC 전력 포트(508)들을 포함할 수 있다. 대안적으로 또는 조합하여, 몇몇 실시예들에서, 매니폴드(235)는 (전술한) 열 제어 판에 대한 디스크의 척킹 및/또는 (전술한) 디스크에 대한 기판의 척킹을 가능하게 하기 위해 디스크 내부에 배치된 하나 또는 그 초과의 전극들에 대해 DC 전력을 제공하는 2개의 DC 전력 포트들을 포함할 수 있다.
몇몇 실시예들에서, 매니폴드(235)는 온도 모니터링을 용이하게 하기 위해 디스크에 대한 접근을 제공하는 하나 또는 그 초과의 (1개가 도시된) 포트(504)들을 더 포함할 수 있다. 예컨대, 몇몇 실시예들에서, 온도 모니터링을 용이하게 하기 위해 디스크에 실질적으로 근접하거나 접촉하여 열전대(505)를 배치할 수 있도록 하나 또는 그 초과의 포트(504)들이 제공될 수 있다. 대안적으로 또는 조합하여, 디스크에 전력을 제공하는 전력 공급원(예컨대, 전술한 전력 공급원(140))에서의 전압 및 전류 측정값들을 통해 저항의 변화를 측정함으로써, 디스크의 온도가 또한 모니터링될 수 있다.
몇몇 실시예들에서, 유체 또는 전기적 누설을 방지하기 위해, 매니폴드(235), 하우징(224) 및 진공 판(217) 사이에 O링, 절연체들, 가스킷들 등이 배치될 수 있다. 예컨대, 몇몇 실시예들에서, 진공 판(217)에 커플링되었을 때 라디오 주파수(RF) 간섭을 저감하거나 방지하기 위해, RF 가스킷(522)이 하우징(224) 위에 배치될 수 있다. 또한, 열 제어 판(204)에 대한 RF 전력의 보다 효율적인 전달을 위해 열 제어 판(204)에 대해 하우징(224)이 전기적으로 커플링된다.
매니폴드(235)는 디스크와 열 제어 판에 공정 가스들, 전력, 열 전달 유체들 등을 제공하기에 적합한 임의의 물질로 제조될 수 있다. 예컨대, 몇몇 실시예들에서, 매니폴드(235)는 세라믹으로 제조될 수 있으며, 몇몇 실시예들에서, 알루미늄, 스테인리스강, 티타늄 등과 같은 금속으로 제조될 수 있다. 매니폴드(235)는 적절한 커플링을 제공하기에 적합한 임의의 수단을 통해 진공 판(217)에 커플링될 수 있다. 예컨대, 몇몇 실시예들에서, 매니폴드(235)는 용접 또는 납땜에 의해 진공 판(217)에 커플링될 수 있다. 몇몇 실시예들에서, 위에 배치되었을 때, 진공 판(217)과 열 제어 판(미도시) 사이에 진공 밀봉을 형성하기 위해, O링(512)이 진공 판(217) 위에 배치될 수 있다.
도 6을 참조하면, 몇몇 실시예들에서, 디스크(202)는 가요성 스크류-너트 구조(608)를 통해 열 제어 판에 커플링될 수 있다. 그러한 실시예들에서, 열 제어 판(204)과 디스크(202)는 스크류(606)와 인터페이스로 접속하기에 적합한 치수들을 가진 관통공(610)을 포함한다. 몇몇 실시예들에서, 관통공(610)은, 열 제어 판(204)과 디스크(202)가 각각 서로에 대해 독립적으로 움직일 수 있도록, 스크류(606)의 치수보다 더 큰 치수들을 가질 수 있으며, 이에 따라, 열 팽창 차이들로 인한 열 제어 판(204) 및/또는 디스크(202)에 대한 손상을 저감한다. 스크류(606)는 임의의 적당한 유형의 스크류, 예컨대, 기계 스크류, 나비 스크류, 클램프 스크류 등일 수 있다. 몇몇 실시예들에서, 스크류(606)는 관통공(610)의 테이퍼형 단부(612)와 인터페이스로 접속하도록 구성된 테이퍼형 헤드(614)를 포함할 수 있으며, 이에 따라, 상기 테이퍼형 헤드(614)는 스크류(606)가 조여질 때 디스크(202)를 클램핑 다운할 수 있으며, 디스크(202)의 상면과 동일하거나 그보다 낮게 배치된다. 스크류(606)는 열 제어 판(204)과 디스크(202)의 적절한 커플링을 제공하기에 적합한 임의의 물질, 예컨대, 알루미늄, 티타늄, 스테인리스강 등과 같은 금속으로 제조될 수 있다.
몇몇 실시예들에서, 너트(602)가 열 제어 판(204) 아래에 배치되며, 상기 너트는 스크류(606)의 나사식 단부(616)와 인터페이스로 접속하도록 구성된 일련의 나사산(618)들을 포함한다. 너트(602)는 디스크(202)에 대한 열 제어 판(204)의 견고한 커플링을 제공하기에 적합한 임의의 물질, 예컨대, 알루미늄, 티타늄, 스테인리스강 등과 같은 금속으로 제조될 수 있다. 몇몇 실시예들에서, 너트(602)는 스크류(606)와 동일한 물질로 제조될 수 있으며, 몇몇 실시예들에서, 스크류(606)와 상이한 물질로 제조될 수 있다.
열 제어 판(204) 및/또는 디스크(202)의 열 팽창을 허용하면서 열 제어 판(204)과 디스크(202)에 대한 소정의 클램핑 압력을 유지하기 위해, 열 제어 판(204)과 너트(602) 사이에 편향 부재(604)가 배치될 수 있다. 단지 하나의 스크류-너트 구조(608)가 도시되어 있으나, 임의의 갯수의 스크류-너트 구조(608)가 열 제어 판(204)에 대해 디스크(202)를 커플링하기 위해 활용될 수 있다.
도 7을 참조하면, 몇몇 실시예들에서, 단자(702)를 통해 디스크(202) 내부의 전극(710)에 전력이 전달될 수 있다. 단자(702)는, 단자(702)의 임의의 수직 운동시, 충분한 전기적 접속을 유지하기 위해, 스프링 요소(708)를 통해 전도체(706)에 전기적으로 커플링될 수 있다. 디스크(202)의 전극(710)에 대해 인가되는 힘은 전극(710)을 향해 단자(702)를 편향시키는 적당한 스프링(707)에 의해 제어될 수 있다. 요소(703, 704, 705)들이 단자(702)를 위한 하우징을 제공할 수 있으며, 열 제어 판(204) 또는 전력 피드쓰루(711) 부근의 임의의 다른 전도성 요소들로부터 전기 요소들(예컨대, 단자(702)와 전도체(706))을 전기적으로 격리시키기에 적합한 절연 물질로 제조될 수 있다. 전력 피드쓰루(711)는, 영역(713)(예컨대, 처리 챔버 내부의 처리 체적)과 영역(714)(예컨대, 처리 체적으로부터 격리된 영역) 사이에 진공 밀봉 접속을 생성하기 위해, 용접, 납땜 또는 다른 유사한 접합 기술을 이용하여 매니폴드(235)에 커플링될 수 있다.
도 8을 참조하면, 몇몇 실시예들에서, 디스크(202)는 디스크(202)에 형성된 관통공(810) 내부에 배치된 하나 또는 그 초과의 (1개가 도시된) 단자(814)들을 통해 전력 공급원(810)에 전기적으로 커플링될 수 있다. 관통공(806)은 전력 공급원(810)과 디스크(202) 내부에 배치된 전극(802) 사이에 접속을 제공할 수 있는 디스크(202) 상의 임의의 위치에 형성될 수 있다. 몇몇 실시예들에서, 관통공(806)은 디스크(202)의 외측 에지(812)에 인접하여 형성되거나, 대안적으로, 디스크(202)의 본체와 일체로 형성된 외측으로 연장하는 탭 내부에 형성될 수 있다.
몇몇 실시예들에서, 단자(814)는 관통공(806) 내부의 정지 위치에 단자(814)를 고정하기 위해 확대된 헤드(804)와 관통공(806) 내부에 결합하기에 적합한 치수들을 가진 샤프트(816)를 포함할 수 있다. 단자(814)는 전력 공급원(810)에 디스크(202)를 커플링하기에 적합한 임의의 물질을 포함할 수 있다. 예컨대, 단자(814)는 알루미늄, 티타늄, 스테인리스강 등과 같은 금속을 포함할 수 있다.
몇몇 실시예들에서, 단자(814)와 디스크(202) 사이의 마찰로 인한 디스크(202)의 손상을 저감하기 위해, 확대된 헤드(804)와 디스크(202) 사이에 와셔(808)가 배치될 수 있다.
단지 1개의 단자(814)가 도시되어 있으나, 임의의 갯수의 단자들이 활용될 수 있다. 예컨대, 디스크가 1개를 초과하는 (전술한) 전극을 포함할 수 있는 실시예들에서, 각각의 전극에 대해 전력이 독립적으로 전달될 수 있도록, 각각의 전극이 하나 또는 그 초과의 단자(814)에 각각 커플링될 수 있다.
정전 척(105)의 작동시, 디스크(202)와 기판(S) 사이의 계면(216)과 디스크(202)와 열 제어 판(204) 사이의 계면(218)에 대해, 가스 및/또는 척킹력을 선택적으로 제공함으로써, 기판(S)의 신속한 가열 또는 냉각이 이루어질 수 있다. 몇몇 실시예들에서, 디스크(202)는 초당 최대 약 50℃의 속도로 가열 또는 냉각될 수 있으며, 몇몇 실시예들에서, 초당 최대 약 150℃의 가열 속도로 가열되고 초당 최대 약 20℃의 냉각 속도로 냉각될 수 있다.
예컨대, 몇몇 실시예들에서, 디스크를 가열하기 위해 디스크(202)의 하나 또는 양 전극들에 AC 전력을 제공하면서, 기판(S)을 신속하기 가열하기 위해, 디스크(202)와 기판(S) 사이의 계면(216)에 열 전도 가스(예컨대, 아르곤, 헬륨 등)가 제공될 수 있다. 가스의 존재는 기판(S)과 디스크(202) 사이의 열 전달을 개선하고, 이에 따라, 증대된 가열 속도를 제공한다. 또한, 기판(S)과 디스크(202) 사이의 열 전달을 더 개선하여 기판(S)의 신속한 가열을 더 용이하게 하도록, 디스크(202)에 기판(S)을 척킹하기 위한 척킹력이 디스크(202)의 전극(406)에 제공될 수 있다.
또한, 디스크는 기판의 가열 속도를 더 향상시키기 위해 열 제어 판에 열적으로 불량하게 커플링될 수 있다. 예컨대, 배면측 가스 그루브들이 디스크와 열 제어 판 사이에 제공된 실시예들에서, 디스크로부터 열 제어 판으로의 열 전달률을 저감하기 위해 가스의 흐름이 저감되거나 종료될 수 있다. 대안적으로 또는 조합하여, 열 제어 판에 인접하여 척킹 전극이 제공된 실시예들에서, 디스크로부터 열 제어 판으로의 열 전달률을 저감하기 위해 디스크와 열 제어 판 사이의 클램핑 압력을 저감하도록 전극에 대한 전력이 저감되거나 종료될 수 있다.
몇몇 실시예들에서, 기판(S)을 신속하게 냉각하기 위해, 열 전도성 가스(예컨대, 아르곤, 헬륨 등)가 디스크(202)와 열 제어 판(204) 사이의 계면(218)에 제공될 수 있다. 예컨대, 몇몇 실시예들에서, 챔버 내의 작동 압력은 약 30mTorr 미만일 수 있다. 약 2 내지 약 20Torr 사이의 전도성 가스를 제공함으로써, 디스크(202)와 열 제어 판(204) 사이의 압력이 유지될 수 있다. 가스의 존재는 디스크(202)와 열 제어 판(204) 사이의 열 전달을 개선하고, 이에 따라, 증대된 냉각 속도를 제공한다. 또한, 디스크(202)와 열 제어 판(204) 사이의 열 전달률을 더 개선하여 기판(S)과 디스크(202)의 신속한 냉각을 더 용이하게 하도록, 열 제어 판(204)에 대한 디스크(202)의 클램핑 압력을 증대시키기 위한 척킹력이 디스크(202)의 전극(408)에 제공되거나 증대될 수 있다.
디스크와 기판(S) 사이에 강한 클램핑력을 제공하고 신속하게 가열 및 냉각될 수 있는 디스크를 제공함으로써, 디스크(202)와 기판(S)이 실질적으로 유사한 속도들로 가열 및 냉각될 것이다. 디스크(202)가 기판(S)의 열 팽창 계수와 유사한 열 팽창 계수를 갖기 때문에, 상이한 열 팽창률 또는 수축률로 인한 기판(S)과 정전 척(150) 사이의 마찰이 저감되거나 제거될 수 있음으로써, 기판(S)에 대한 손상을 저감하거나 제거할 수 있다.
따라서, 위에 배치된 기판의 신속한 가열 및 냉각과 동시에 신속하게 가열 및 냉각될 수 있는 정전 척을 유리하게 제공할 수 있는, 정전 척들 및 그 사용 방법들이 제공되었다. 본 발명에 따른 정전 척은 기판 처리에 있어서 증대된 처리량과 처리 유연성을 제공할 수 있다. 본 발명에 따른 정전 척은 처리시 열 팽창률들의 차이들로 인한 정전 척과 기판 간의 마찰에 의해 유발되는 기판에 대한 손상을 더 유리하게 저감하거나 제거할 수 있다.
이상의 설명은 본 발명의 실시예들에 관한 것이나, 본 발명의 기본적인 범위를 벗어나지 않고 다른 추가적인 실시예들이 안출될 수 있다.

Claims (15)

  1. 정전 척으로서,
    디스크 ― 상기 디스크는 기판을 위에 지지하는 제 1 측면 및 상기 제 1 측면에 대향하며 상기 디스크를 열 제어 판에 선택적으로 커플링하기 위한 계면을 제공하는 제 2 측면을 가짐 ―,
    상기 디스크에 상기 기판을 정전기적으로 커플링하기 위해 상기 제 1 측면 근처에서 상기 디스크 내에 배치된 제 1 전극, 및
    상기 열 제어 판에 상기 디스크를 정전기적으로 커플링하기 위해 상기 디스크의 대향하는 측면 근처에서 상기 디스크 내에 배치된 제 2 전극
    을 포함하는,
    정전 척.
  2. 제 1 항에 있어서,
    상기 제 2 전극은 추가로, 상기 디스크를 가열하도록 구성되는,
    정전 척.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 디스크와 상기 열 제어 판 사이로 열 전달 유체를 흐르게 하기 위해 상기 열 제어 판에 또는 상기 디스크의 제 2 측면에 형성된 적어도 하나의 그루브를 더 포함하는,
    정전 척.
  4. 제 3 항에 있어서,
    상기 열 전달 유체는 아르곤 또는 헬륨 가스를 포함하는,
    정전 척.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 디스크는 상기 디스크의 제 1 측면과 상기 기판 사이로 열 전달 유체를 흐르게 하기 위해 상기 제 1 측면에 형성된 적어도 하나의 그루브를 더 포함하는,
    정전 척.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 디스크는,
    베이스;
    상기 베이스 위에 배치된 제 1 유전체 물질 층 ― 상기 제 1 전극 및 제 2 전극은 상기 제 1 유전체 물질 층 위에 배치됨 ―; 및
    상기 제 1 전극 및 제 2 전극 위에 배치된 제 2 유전체 물질 층을 더 포함하는,
    정전 척.
  7. 제 6 항에 있어서,
    상기 베이스는 그래파이트, 열분해(pyrolytic) 붕소 질화물 또는 실리콘을 포함하며, 상기 제 1 및 제 2 유전체 물질 층은 열분해 붕소 질화물을 포함하는,
    정전 척.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 디스크는,
    상기 제 1 전극 및 제 2 전극이 위에 배치된 유전체 베이스; 및
    상기 제 1 전극 및 제 2 전극 위에 배치된 유전체 물질 층을 더 포함하는,
    정전 척.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 디스크의 제 2 측면에 커플링된 열 제어 판을 더 포함하는,
    정전 척.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 디스크는 상기 기판의 열 팽창 계수와 실질적으로 동일한 열 팽창 계수를 가진,
    정전 척.
  11. 제 1 항 또는 제 2 항에 있어서,
    상기 디스크는 상기 기판의 두께보다 약 3배 더 큰 두께를 가진,
    정전 척.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 디스크는 약 1.0 내지 약 2.5㎜의 두께를 가진,
    정전 척.
  13. 기판 처리 방법으로서,
    처리 챔버 내에 배치된 정전 척의 디스크의 제 1 면 상에, 상기 제 1 측면 근처에서 상기 정전 척 내에 배치된 제 1 전극에 전력을 제공함으로써, 기판을 클램핑하는 단계; 및
    상기 제 1 측과 반대인 상기 디스크의 제 2 측과 상기 디스크에 커플링된 열 제어 판 사이에 배치된 계면을 통한 열 전도율을 선택적으로 증대시키거나 감소시킴으로써, 상기 디스크와 상기 열 제어 판 사이의 열 전달률을 제어하는 단계를 포함하는,
    기판 처리 방법.
  14. 제 13 항에 있어서,
    상기 기판을 가열할 때,
    상기 디스크와 상기 열 제어 판 사이에 배치된 하나 또는 그 초과의 그루브들을 통해 상기 계면에 제공되는 가스의 압력을 감소시키는 단계; 또는
    상기 열 제어 판에 상기 디스크를 정전기적으로 클램핑하기 위해 상기 디스크의 제 2 측 근처에서 배치된 제 2 전극에 제공되는 전압을 감소시키는 단계 중 적어도 하나의 단계에 의해, 상기 계면을 통한 열 전도율을 감소시키는 단계; 또는
    상기 기판을 냉각할 때,
    상기 디스크와 상기 열 제어 판 사이에 배치된 하나 또는 그 초과의 그루브들을 통해 상기 계면에 제공되는 가스의 압력을 증대시키는 단계; 또는
    상기 열 제어 판에 상기 디스크를 정전기적으로 클램핑하기 위해 상기 디스크의 제 2 측 근처에서 배치된 제 2 전극에 제공되는 전압을 증대시키는 단계 중 적어도 하나의 단계에 의해, 상기 계면을 통한 열 전도율을 증대시키는 단계
    중 적어도 하나의 단계를 더 포함하는,
    기판 처리 방법.
  15. 제 13 항에 있어서,
    상기 디스크 및 상기 기판을 가열하기 위해 상기 디스크의 제 2 측 근처에서 배치된 제 2 전극에 AC 전류를 제공하는 단계; 또는
    상기 디스크 및 상기 기판을 가열하기 위해 상기 디스크의 제 1 측 근처에서 배치된 제 1 전극에 AC 전류를 제공하는 단계
    중 적어도 하나의 단계를 더 포함하는,
    기판 처리 방법.
KR1020137005720A 2010-08-06 2011-08-04 정전 척 및 정전 척의 사용 방법들 KR101892911B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US37145510P 2010-08-06 2010-08-06
US61/371,455 2010-08-06
US13/198,204 2011-08-04
US13/198,204 US8559159B2 (en) 2010-08-06 2011-08-04 Electrostatic chuck and methods of use thereof
PCT/US2011/046611 WO2012019017A2 (en) 2010-08-06 2011-08-04 Electrostatic chuck and methods of use thereof

Publications (2)

Publication Number Publication Date
KR20140004062A true KR20140004062A (ko) 2014-01-10
KR101892911B1 KR101892911B1 (ko) 2018-08-29

Family

ID=45556003

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137005720A KR101892911B1 (ko) 2010-08-06 2011-08-04 정전 척 및 정전 척의 사용 방법들

Country Status (5)

Country Link
US (1) US8559159B2 (ko)
JP (1) JP6195519B2 (ko)
KR (1) KR101892911B1 (ko)
CN (1) CN103081088B (ko)
WO (1) WO2012019017A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170043303A (ko) * 2015-10-13 2017-04-21 세메스 주식회사 지지 유닛 및 이를 포함하는 베이크 장치

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8519729B2 (en) * 2010-02-10 2013-08-27 Sunpower Corporation Chucks for supporting solar cell in hot spot testing
JP5948026B2 (ja) * 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9153463B2 (en) * 2011-11-25 2015-10-06 Nhk Spring Co., Ltd. Substrate support device
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
WO2015013143A1 (en) 2013-07-22 2015-01-29 Applied Materials, Inc. An end effector for transferring a substrate
WO2015013142A1 (en) 2013-07-22 2015-01-29 Applied Materials, Inc. An electrostatic chuck for high temperature process applications
JP6518666B2 (ja) * 2013-08-05 2019-05-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄い基板をハンドリングするための静電キャリア
CN105408993A (zh) 2013-08-06 2016-03-16 应用材料公司 局部加热的多区域基板支撑件
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN103871932A (zh) * 2014-03-17 2014-06-18 上海华虹宏力半导体制造有限公司 光阻加固设备及微粒污染排除方法
CN104157547A (zh) * 2014-08-26 2014-11-19 上海先进半导体制造股份有限公司 深槽刻蚀设备的静电释放方法
DE102014114097B4 (de) 2014-09-29 2017-06-01 Danfoss Silicon Power Gmbh Sinterwerkzeug und Verfahren zum Sintern einer elektronischen Baugruppe
DE102014114096A1 (de) 2014-09-29 2016-03-31 Danfoss Silicon Power Gmbh Sinterwerkzeug für den Unterstempel einer Sintervorrichtung
DE102014114093B4 (de) 2014-09-29 2017-03-23 Danfoss Silicon Power Gmbh Verfahren zum Niedertemperatur-Drucksintern
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
KR102498784B1 (ko) * 2014-12-11 2023-02-09 어플라이드 머티어리얼스, 인코포레이티드 고온 rf 애플리케이션들을 위한 정전 척
US20160289827A1 (en) * 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
CN107896512A (zh) * 2015-04-01 2018-04-10 Vni斯陆深株式会社 对准器结构和对准方法
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
JP6586345B2 (ja) * 2015-10-23 2019-10-02 日本特殊陶業株式会社 基板保持装置
US10249526B2 (en) 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
US10410900B2 (en) 2016-08-05 2019-09-10 Applied Materials, Inc. Precision screen printing with sub-micron uniformity of metallization materials on green sheet ceramic
USD836572S1 (en) 2016-09-30 2018-12-25 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
JP7208168B2 (ja) * 2017-06-16 2023-01-18 チュソン エンジニアリング カンパニー,リミテッド 基板処理装置及び真空回転電気コネクタ
CN107093545B (zh) * 2017-06-19 2019-05-31 北京北方华创微电子装备有限公司 反应腔室的下电极机构及反应腔室
US20190043698A1 (en) * 2017-08-03 2019-02-07 Applied Materials, Inc. Electrostatic shield for substrate support
USD851613S1 (en) 2017-10-05 2019-06-18 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
US11149345B2 (en) * 2017-12-11 2021-10-19 Applied Materials, Inc. Cryogenically cooled rotatable electrostatic chuck
USD868124S1 (en) * 2017-12-11 2019-11-26 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
CN108054074A (zh) * 2018-01-11 2018-05-18 北京北方华创微电子装备有限公司 一种基座以及包括该基座的半导体处理设备
USD877101S1 (en) 2018-03-09 2020-03-03 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
US10957572B2 (en) 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
NL2025069A (en) * 2019-03-13 2020-09-17 Asml Holding Nv Electrostatic clamp for a lithographic apparatus
US11189516B2 (en) 2019-05-24 2021-11-30 Applied Materials, Inc. Method for mask and substrate alignment
US11538706B2 (en) 2019-05-24 2022-12-27 Applied Materials, Inc. System and method for aligning a mask with a substrate
USD908645S1 (en) 2019-08-26 2021-01-26 Applied Materials, Inc. Sputtering target for a physical vapor deposition chamber
JP7313254B2 (ja) * 2019-10-11 2023-07-24 日本特殊陶業株式会社 保持装置
USD937329S1 (en) 2020-03-23 2021-11-30 Applied Materials, Inc. Sputter target for a physical vapor deposition chamber
US11335543B2 (en) * 2020-03-25 2022-05-17 Applied Materials, Inc. RF return path for reduction of parasitic plasma
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US20220068674A1 (en) * 2020-08-31 2022-03-03 Applied Materials, Inc. Heater Assembly with Process Gap Control for Batch Processing Chambers
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) * 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
USD940765S1 (en) 2020-12-02 2022-01-11 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
US11881423B2 (en) * 2021-02-09 2024-01-23 Applied Materials, Inc. Electrostatic chuck with metal bond
USD1007449S1 (en) 2021-05-07 2023-12-12 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
US20230162954A1 (en) * 2021-11-19 2023-05-25 Applied Materials, Inc. High temperature detachable very high frequency (vhf) electrostatic chuck (esc) for pvd chamber
KR102396865B1 (ko) * 2021-12-08 2022-05-12 주식회사 미코세라믹스 정전척
WO2024076562A1 (en) * 2022-10-03 2024-04-11 Applied Materials, Inc. Web coating method and vented cooling drum with integral electrostatic clamping

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002009064A (ja) * 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP2003249544A (ja) * 2002-02-26 2003-09-05 Ge Speciality Materials Japan Kk 静電チャックおよびその製造方法
JP2004095665A (ja) * 2002-08-29 2004-03-25 Tokyo Electron Ltd 静電吸着装置および処理装置
JP2007242913A (ja) * 2006-03-09 2007-09-20 Hitachi High-Technologies Corp 試料載置電極及びそれを用いたプラズマ処理装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3596127B2 (ja) * 1995-12-04 2004-12-02 ソニー株式会社 静電チャック、薄板保持装置、半導体製造装置、搬送方法及び半導体の製造方法
JP3881908B2 (ja) * 2002-02-26 2007-02-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US6875927B2 (en) * 2002-03-08 2005-04-05 Applied Materials, Inc. High temperature DC chucking and RF biasing cable with high voltage isolation for biasable electrostatic chuck applications
KR20040070008A (ko) * 2003-01-29 2004-08-06 쿄세라 코포레이션 정전척
DE102005056364B3 (de) * 2005-11-25 2007-08-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Bipolarer Trägerwafer und mobile, bipolare, elektrostatische Waferanordnung
US7297894B1 (en) * 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
JP2007150351A (ja) * 2007-02-15 2007-06-14 Toto Ltd 静電チャック
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
JP4782733B2 (ja) * 2007-06-12 2011-09-28 東京エレクトロン株式会社 載置台およびそれを用いたプラズマ処理装置
JP2009054932A (ja) * 2007-08-29 2009-03-12 Shinko Electric Ind Co Ltd 静電チャック
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
US20100014208A1 (en) * 2008-07-10 2010-01-21 Canon Anleva Corporation Substrate holder
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
JP5163349B2 (ja) * 2008-08-01 2013-03-13 住友大阪セメント株式会社 静電チャック装置
WO2010019430A2 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
US20100247804A1 (en) 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002009064A (ja) * 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP2003249544A (ja) * 2002-02-26 2003-09-05 Ge Speciality Materials Japan Kk 静電チャックおよびその製造方法
JP2004095665A (ja) * 2002-08-29 2004-03-25 Tokyo Electron Ltd 静電吸着装置および処理装置
JP2007242913A (ja) * 2006-03-09 2007-09-20 Hitachi High-Technologies Corp 試料載置電極及びそれを用いたプラズマ処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170043303A (ko) * 2015-10-13 2017-04-21 세메스 주식회사 지지 유닛 및 이를 포함하는 베이크 장치

Also Published As

Publication number Publication date
JP6195519B2 (ja) 2017-09-13
CN103081088B (zh) 2016-04-06
CN103081088A (zh) 2013-05-01
US8559159B2 (en) 2013-10-15
WO2012019017A3 (en) 2012-05-31
KR101892911B1 (ko) 2018-08-29
JP2013535842A (ja) 2013-09-12
US20120033340A1 (en) 2012-02-09
WO2012019017A2 (en) 2012-02-09

Similar Documents

Publication Publication Date Title
KR101892911B1 (ko) 정전 척 및 정전 척의 사용 방법들
US8390980B2 (en) Electrostatic chuck assembly
TWI667944B (zh) 具有可拆卸高電阻率氣體分配板的噴淋頭
KR101045730B1 (ko) 기판의 온도를 제어하기 위한 장치
JP4256482B2 (ja) 高温の静電チャックから下側の低温体に伝熱するための装置及び方法
US5192849A (en) Multipurpose low-thermal-mass chuck for semiconductor processing equipment
KR101826843B1 (ko) B-필드 집중기를 사용하는 금속성 샤워헤드를 구비한 유도 플라즈마 소오스
US7436645B2 (en) Method and apparatus for controlling temperature of a substrate
CN108505010B (zh) 用于沉积腔室的基板支撑夹盘冷却
KR20190075163A (ko) 플로팅 쉐도우 링을 가진 프로세스 키트
KR102516133B1 (ko) 후면 가스 공급부를 갖는 회전가능 정전 척
US20170125274A1 (en) Biasable rotatable electrostatic chuck
US20230162954A1 (en) High temperature detachable very high frequency (vhf) electrostatic chuck (esc) for pvd chamber
US20240055289A1 (en) Vacuum seal for electrostatic chuck
KR20070000225U (ko) 기판의 온도를 제어하기 위한 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant