KR20130056900A - 플라스마 처리장치 - Google Patents

플라스마 처리장치 Download PDF

Info

Publication number
KR20130056900A
KR20130056900A KR1020137008851A KR20137008851A KR20130056900A KR 20130056900 A KR20130056900 A KR 20130056900A KR 1020137008851 A KR1020137008851 A KR 1020137008851A KR 20137008851 A KR20137008851 A KR 20137008851A KR 20130056900 A KR20130056900 A KR 20130056900A
Authority
KR
South Korea
Prior art keywords
antenna
plasma processing
processing apparatus
high frequency
wall
Prior art date
Application number
KR1020137008851A
Other languages
English (en)
Other versions
KR101570277B1 (ko
Inventor
유이치 세츠하라
아키노리 에베
Original Assignee
가부시키가이샤 이엠디
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 이엠디 filed Critical 가부시키가이샤 이엠디
Publication of KR20130056900A publication Critical patent/KR20130056900A/ko
Application granted granted Critical
Publication of KR101570277B1 publication Critical patent/KR101570277B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

외부 안테나 방식의 것보다도 높은 밀도로 플라스마를 생성할 수 있음과 아울러, 내부 안테나 방식의 문제점인, 피처리물로의 불순물의 혼입이나 파티클의 발생을 억제할 수 있는 플라스마 처리장치를 제공한다. 본 발명에 관한 플라스마 처리장치는 금속제의 진공용기(11)와 진공용기(11)의 상벽(112)에 마련된 관통구멍(공동)의 내부에 고주파 안테나(18)가 배치된 안테나 배치부(14)와, 상벽(112)의 내면(1121) 전체를 덮는 유전체제의 구획판(15)을 가진다. 이 플라스마 처리장치에서는 상벽(112)의 내면(1121) 측 전체를 구획판(15)으로 덮음으로써, 내면(1121)과 구획판(15)의 사이에 단차가 생기지 않도록 하고 있기 때문에, 단차 부분에 부착물이 발생하는 것에 의하는 파티클의 발생을 방지할 수 있다.

Description

플라스마 처리장치 {PLASMA PROCESSING APPARATUS}
본 발명은 기체(基體)의 표면처리 등에 이용할 수 있는 유도결합형의 플라스마 처리장치에 관한 것이다.
종래부터, 기체상에 박막(薄膜)을 형성하는 제막(製膜)처리나, 기체표면에 대한 에칭처리를 위해서, 플라스마 처리장치가 이용되고 있다. 플라스마 처리장치에는 전극 사이에 고주파 전압을 인가함으로써 발생하는 전계(電界)에 의해 플라스마를 생성하는 용량결합형 플라스마 처리장치나, 고주파 안테나(코일)에 고주파 전류를 흘림으로써 발생하는 유도전자계에 의해 플라스마를 생성하는 유도결합형 플라스마 처리장치 등이 있다. 이 중, 유도결합형 플라스마 처리장치는 고밀도이면서도, 전자온도가 낮고, 이온 에너지가 작은(즉, 제막속도가 빠르고, 피처리물로의 데미지가 적은) 플라스마를 생성할 수 있다고 하는 특징을 가진다.
유도결합형 플라스마 처리장치에서는 진공용기 내에 수소 등의 플라스마 생성가스를 도입한데다가 유도전자계를 생성함으로써 플라스마 생성가스를 분해하여, 플라스마를 생성한다. 그리고, 플라스마 생성가스와는 별도로, 진공용기 내에 제막원료가스 혹은 에칭가스를 도입하고, 플라스마에 의해 제막원료가스의 분자를 분해하여 기체상에 퇴적시키거나, 혹은 에칭가스의 분자를 분해하여 에칭에 이용하는 이온이나 래디칼(radical)을 생성한다.
종래의 유도결합형 플라스마 처리장치에서는 유도전자계를 생성하기 위한 고주파 안테나를 진공용기의 외측에 배치하고, 진공용기의 벽의 일부에 마련한 유전체제(製)의 벽 혹은 창을 통하여, 이 유도전자계를 진공용기의 내부로 도입하는 외부 안테나 방식인 것이 많이 이용되고 있었다(예를 들면 특허문헌 1을 참조). 그렇지만, 최근, 기체 및 그 위에 형성하는 박막의 대면적화가 진행되고 있으며, 그에 따라 진공용기가 대형화하고 있기 때문에, 진공용기 내외의 압력차이의 관계로부터 유전체제의 벽(또는 창)이 두꺼워지고 있다. 이것에 의해, 진공용기 내로 도입되는 유도전자계의 강도가 작아져, 생성된 플라스마의 밀도가 저하된다고 하는 문제가 발생하게 되었다.
이것에 대해, 특허문헌 2에 기재되어 있는 고주파 안테나를 진공용기의 내부에 마련한 내부 안테나 방식의 유도결합형 플라스마 처리장치에서는, 유전체제의 벽(또는 창)의 두께에 관계없이 용이하게 플라스마의 밀도를 높게 할 수 있다. 이 때문에, 기체 및 박막의 대면적화에 적합하다.
특허문헌 1 : 일본국 특개평08-227878호공보([0010], 도 5) 특허문헌 2 : 일본국 특개2001-035697호공보([0050]-[0051], 도 11)
그렇지만, 안테나 표면을 유전체 등으로 피복하지 않는 내부 안테나 방식의 유도결합형 플라스마 처리장치에서는, 고주파 안테나와 플라스마가 용량적으로 결합함으로써 안테나에 전자가 유입하기 때문에, 안테나에 직류의 셀프 바이어스(self bias)가 발생한다. 그리고 안테나에 발생한 직류의 셀프 바이어스 전압에 의해서 플라스마 중의 이온이 가속되고, 고주파 안테나에 입사함으로써, 안테나 표면이 스퍼터(sputter)된다고 하는 문제가 있다. 이것에 의해, 고주파 안테나의 수명이 짧아지고, 스퍼터된 고주파 안테나의 재료가 피처리물에 불순물로서 혼입해 버린다.
또, 내부 안테나 방식에서는 제막재료나 에칭시의 부(副)생성물이 고주파 안테나의 표면에 부착하고, 그 부착물이 낙하함으로써, 기체의 표면에 입자상태의 이물(파티클)이 발생해 버릴 우려가 있다.
본 발명이 해결하려고 하는 과제는, 외부 안테나 방식인 것보다도 높은 밀도로 플라스마를 생성할 수 있음과 아울러, 내부 안테나 방식의 문제점인 피처리물로의 불순물의 혼입이나 파티클의 발생을 억제할 수 있는 플라스마 처리장치를 제공하는 것이다.
상기 과제를 해결하기 위해서 이루어진 본 발명에 관한 플라스마 처리장치는,
a) 내부에서 플라스마 처리를 행하기 위한, 주위를 대략 직교 능선(稜線)으로 둘러싸인 벽을 가지는 밀폐용기와,
b) 상기 벽의 내면과 외면의 사이에 마련된, 내면 측에 개구를 가지는 공동으로 이루어지는 안테나 배치부와,
c) 상기 안테나 배치부 내에 배치된 고주파 안테나와,
d) 상기 벽의 내면의 상기 대략 직교 능선으로 둘러싸인 부분 전체를 덮는 유전체제의 구획판을 구비하는 것을 특징으로 한다.
여기서 「대략 직교 능선」이란, 상기 벽의 내면과 그 주위의 벽의 내면이 교차하는 선으로서, 그 내각이 70 ~ 120°인 것을 말한다.
본 발명에 관한 플라스마 처리장치는, 밀폐용기의 벽의 내면과 외면의 사이에 안테나 배치부를 마련하고, 그 내부에 고주파 안테나를 배치한 것이다. 이와 같은 구성을 채용함으로써, 외부 안테나 방식의 경우보다도 강한 유도전자계를 밀폐용기 내에 생성하는 것이 가능하게 된다.
그리고, 고주파 안테나와 밀폐용기의 내부를 유전체제의 구획판으로 구획함으로써, 고주파 안테나가 스퍼터되거나, 고주파 안테나에 제막재료나 에칭시의 부생성물이 부착하여 파티클이 발생하거나 하는 것을 방지할 수 있다. 또한, 안테나 배치부가 마련된 벽의 내면 측 전체를 구획판으로 덮음으로써, 벽면과 구획판으로 단차가 생기지 않도록 하고 있다. 일반적으로 밀폐용기 내에 단차와 같은 요철이 있으면, 그 부분에 제막재료나 부생성물이 부착하여 파티클이 발생하는 원인이 되지만, 본 발명에서는 밀폐용기 내에 쓸데없는 단차가 생기지 않도록 했기 때문에, 이와 같은 파티클이 발생하는 원인을 없앨 수 있다.
또한, 상기 안테나 배치부 내는 진공으로 하거나, 또는 유전체 부재로 충전하는 것이 바람직하다. 이것에 의해, 안테나 배치부 내에서 불필요한 방전을 방지할 수 있다. 유전체 부재로 충전하는 경우, 안테나 배치부 내로 할 수 있을 만큼 틈새가 생기지 않도록 하는 것이 바람직하지만, 다소의 틈새가 생겨도 지장이 없다. 또, 유전체 부재로 충전한 안테나 배치부 내를 더욱 진공 배기하는 구성을 이용할 수도 있다.
상기 안테나 배치부는 동일한 벽의 내부에 복수 마련해도 된다. 이것에 의해, 밀폐용기 내에 복수의 고주파 안테나로부터 유도전자계를 생성할 수 있기 때문에, 보다 대면적의 박막의 제작이나, 보다 대면적의 피처리기체의 처리를 행할 수 있다.
본 발명에 관한 플라스마 처리장치는, 밀폐용기의 벽의 내면과 외면의 사이에 마련한 안테나 배치부 내에 고주파 안테나를 배치하고, 그 안테나 배치부의 내부 공간과 밀폐용기의 내부 공간을 유전체제의 구획판에 의해서 구획한 구성으로 하고 있다. 이와 같은 구성을 채용함으로써, 종래의 외부 안테나 방식인 것보다도 강한 유도전자계를 밀폐용기의 내부에 도입할 수 있음과 아울러, 고주파 안테나가 스퍼터되거나 고주파 안테나에 제막재료나 부생성물이 부착하여 파티클이 발생하는 것을 방지할 수 있다. 또, 안테나 배치부가 마련된 벽의 내면 전체를 구획판으로 덮고 있기 때문에, 구획판을 마련한 것에 의한 단차가 생기지 않고, 단차의 부분에 제막재료나 부생성물이 부착하여 파티클이 발생하는 것을 방지할 수 있다.
도 1은 본 발명에 관한 플라스마 처리장치의 제1 실시예를 나타내는 종단면도.
도 2는 비교예의 플라스마 처리장치의 주요부 종단면도.
도 3은 본 실시예의 플라스마 처리장치에 이용하는 진공용기의 일례를 나타내는 종단면도.
도 4는 본 발명에 관한 플라스마 처리장치의 제2 실시예를 나타내는 종단면도.
도 5는 비교예의 플라스마 처리장치의 주요부 종단면도.
도 6은 본 발명에 관한 플라스마 처리장치의 제3 실시예를 나타내는 주요부 종단면도.
도 7은 제3 실시예의 변형예를 나타내는 주요부 종단면도.
도 8은 본 발명에 관한 플라스마 처리장치의 제4 실시예에서, 고주파 안테나의 작용부와, 진공용기의 벽의 내부에 마련된 공동의 벽면의 관계를 나타내는 도면(a), 작용부와 공동의 벽면의 거리(x)를 변화시켰을 때의 작용부 주변에 형성되는 유도전자계의 변화를 나타내는 도면(b), 거리(x)와 자계강도의 변화를 나타내는 그래프(c).
도 9는 x = 20㎜와 x = 83㎜의 2개의 경우에서, 고주파 전력을 변화시켰을 때의 전자밀도의 변화를 나타내는 그래프.
도 10은 제4 실시예의 변형예를 나타내는 주요부 종단면도.
도 11은 제4 실시예의 다른 변형예를 나타내는 주요부 종단면도.
도 12는 실시예 1의 변형예를 나타내는 주요부 종단면도.
도 13은 실시예 1의 다른 변형예를 나타내는 주요부 종단면도.
도 1 ~ 도 13을 이용하고, 본 발명에 관한 플라스마 처리장치의 실시예를 설명한다.
실시예 1
우선, 실시예 1의 플라스마 처리장치(10)에 대해서 설명한다. 플라스마 처리장치(10)는, 도 1에 나타내는 바와 같이, 금속제의 진공용기(11)와, 진공용기의 내부 공간(111)에 배치된 기체유지부(12)와, 진공용기(11)의 측벽에 마련된 가스도입구(131)와, 진공용기(11)의 하벽에 마련된 가스배출구(132)와, 진공용기(11)의 상벽(112)에 마련된 관통구멍(공동)의 내부에 고주파 안테나(18)가 배치된 안테나 배치부(14)와, 이 상벽(112)의 내면(1121) 전체를 덮는 유전체제의 구획판(15)을 가진다. 또한, 본 실시예에서 내면(1121)과는 대략 직교 능선(113)으로 둘러싸인 영역을 가리키며, 상벽(112)과는 이 내면(1121)에 대응하는 벽을 가리키는 것으로 한다. 또, 구획판(15)의 재료로서는, 산화물, 질화물, 탄화물, 불화물 등을 이용할 수 있다. 그들 재료 중에서, 석영, 알루미나, 산화 지르코늄, 이트리어(yttria), 질화규소 또는 탄화규소를 바람직하게 이용할 수 있다.
안테나 배치부(14)는 상벽(112)의 내면(1121) 측의 개구를 폐색하는 구획판(15)과, 외면(1122) 측의 개구를 폐색하는 덮개(16)와, 내면(1121)과 구획판(15)의 사이 및 외면(1122)과 덮개(16)의 사이에 마련된 가스씰(17)에 의해서 내부 공간이 밀폐된 구조로 되어 있다. 덮개(16)에는 진공배기구(161)가 마련되어 있으며, 진공배기구(161)를 통하여 내부 공간의 공기를 배출함으로써, 안테나 배치부(14) 내를 진공으로 할 수 있다.
본 실시예에서 이용하는 고주파 안테나(18)는 막대 모양 도체를 'U'자형으로 성형한 것이다. 이와 같은 감김 수가 1미만인 코일로 이루어지는 고주파 안테나에서는 인덕턴스(inductance)를 낮게 억제할 수 있기 때문에, 고주파 전력의 투입시에 고주파 안테나(18)에 인가되는 전압이 저하하고, 그 결과, 피처리기체가 플라스마에 의해 데미지를 받는 것을 방지할 수 있다. 또한, 안테나 도체로서 파이프 모양인 것을 이용하여 파이프 내에 냉각수 등의 냉매를 흘리는 구성으로 해도 된다.
고주파 안테나(18)의 양단은 피드쓰루(feedthrough)(162)를 통하여 덮개(16)에 장착되어 있다. 이 때문에, 덮개(16)를 착탈하는 것만으로, 고주파 안테나(18)를 플라스마 처리장치로부터 용이하게 착탈할 수 있다. 고주파 안테나(18)의 한쪽의 끝단은 고주파 전원에 접속되며, 다른 쪽의 끝단은 접지되어 있다.
본 실시예의 플라스마 처리장치(10)의 동작을 기체유지부(12)에 유지된 기체(S)상에 박막을 형성하는 제막처리를 예로 설명한다. 우선, 기체유지부(12)에 기체(S)를 유지시키고, 내부 공간(111)의 공기나 수증기 등을 가스배출구(132)로부터 배기함과 아울러, 안테나 배치부(14) 내의 공기나 수증기 등을 진공배기구(161)로부터 배기함으로써, 내부 공간(111) 및 안테나 배치부(14) 내를 진공으로 한다. 다음으로, 가스도입구(131)로부터 플라스마 생성용 가스 및 제막원료가스를 도입한다. 그리고, 고주파 안테나(18)에 고주파 전류를 흘림으로써, 고주파 안테나(18)의 주위에 유도전자계를 생성한다. 이 유도전자계는 구획판(15)을 통과하여 내부 공간(111)으로 도입되며, 플라스마 생성용 가스를 전리(電離)한다. 이것에 의해 플라스마가 생성된다. 플라스마 생성용 가스와 함께 내부 공간(111)으로 도입된 제막원료가스는 플라스마에 의해 분해되어, 기체(S)상에 퇴적한다.
또한, 에칭처리를 행하는 경우의 플라스마 처리장치(10)의 동작은 가스도입구(131)로부터 제막원료가스를 도입하지 않고, 에칭을 위한 플라스마 생성용 가스를 도입하는 점을 제외하고, 상기의 제막처리와 동일하다.
본 실시예의 플라스마 처리장치(10)에 특징적인 구성으로서, 안테나 배치부(14)가 마련된 상벽(112)의 내면(1121) 측 전체를 구획판(15)으로 덮음으로써, 내면(1121)과 구획판(15)의 사이에 단차가 생기지 않도록 한 것이 있다. 예를 들면 도 2에 나타낸 비교예와 같이, 구획판(15A)을 안테나 배치부(14A)의 바로 아래의 부분에만 마련했을 경우에는, 구획판(15A)과 내면(1121)의 사이에 단차(115)가 형성된다. 이 단차(115)의 부분에는 제막재료나 에칭시의 부생성물이 부착하기 쉬워진다. 그와 같은 부착물은 기체(S)의 표면에 낙하하여, 파티클이 발생하는 원인이 된다. 그에 대하여 본 실시예의 플라스마 처리장치(10)는 내면(1121)의 전면을 덮도록 구획판(15)이 마련되어 있기 때문에, 도 2의 비교예와 같은 단차가 없어, 부착물이 생기기 어렵다.
제1 실시예의 변형예를 도 3에 나타낸다. 도 3은 대략 직교 능선(113)으로 둘러싸인 만곡 모양의 상벽(114)을 가지는 진공용기(11)에서, 이 만곡 모양의 상벽(114)의 내면(1141)과 외면(1142)의 사이에 복수 개의 안테나 배치부(14)를 마련한 예이다. 또한, 대략 직교 능선에서의 내각(θ)은 어떠한 값을 취해도 이론상은 상관없지만, 실용적으로는 70° ~ 120° 정도이다(상기 제1 실시예에서는 θ = 90°). 구획판(15)은 제1 실시예에 나타낸 바와 같이 내면(1141) 전체를 덮도록 마련하지만, 구획판(15)을 마련하는 장소가, 도 3의 (a)와 같이 만곡하고 있는 경우, 구획판(15)의 형상도 그에 맞추어 만곡하고 있는 것이 바람직하다.
실시예 2
도 4를 이용하여, 실시예 2의 플라스마 처리장치(10A)에 대해서 설명한다. 본 실시예의 플라스마 처리장치(10A)는 상벽(112)에 안테나 배치부(14)를 복수 마련한 것이다. 고주파 안테나(18)는 각 안테나 배치부(14)에 1개씩 마련되어 있다. 이들 고주파 안테나(18)는 1개의 고주파 전원에 병렬로 접속되어 있다. 안테나 배치부(14)에 부속되는 덮개(16), 진공배기구(161), 피드쓰루(162) 및 가스씰(17)은 각 안테나 배치부(14)에 각각 마련되어 있다. 단, 구획판(15)은 모든 안테나 배치부(14)에 공통하여 1매만 내면(1121)의 전면을 덮도록 마련되어 있다. 또한, 본 실시예 및 이하의 실시예에서 서술하는 상벽(112) 및 그 내면(1121)의 정의는 제1 실시예와 동일하다.
본 실시예의 플라스마 처리장치(10A)의 동작은 실시예 1의 플라스마 처리장치(10)의 동작과 동일하다. 본 실시예에서는, 복수의 고주파 안테나(18)로부터 유도전자계를 생성할 수 있기 때문에, 보다 대면적의 박막의 형성이나, 보다 대면적의 피처리기체로의 처리를 행할 수 있다.
또, 도 5에 나타낸 비교예와 같이, 구획판(15A)을 안테나 배치부(14A)의 바로 아래의 부분에만 마련했을 경우에는, 안테나 배치부(14A)마다 구획판(15A)과 내면(1121)의 사이에 단차(115)가 발생하는 것에 대하여, 본 실시예의 플라스마 처리장치(10A)에서는 그와 같은 단차가 생기지 않기 때문에, 파티클이 발생하기 어려워진다.
실시예 3
도 6을 이용하여, 실시예 3의 플라스마 처리장치(10B)에 대해서 설명한다. 본 실시예의 플라스마 처리장치(10B)는 실시예 1의 플라스마 처리장치(10)의 구성에 더하여, 안테나 배치부(14) 내에 유전체 부재(21)가 충전되어 있다. 유전체 부재(21)의 재료에는 폴리테트라플루오르에틸렌(polytetrafluoroethylene)(PTFE), 폴리에테르 에테르 케톤(polyether ether ketone)(PEEK) 그 외의 수지, 알루미나, 실리카 그 외의 세라믹스 등을 이용할 수 있다. 유전체 부재(21)는 안테나 배치부(14) 내에 틈새 없이 충전하는 쪽이 바람직하지만, 실제로 제작하면, 금속제인 진공용기의 벽이나 고주파 안테나(18)와 유전체 부재(21)의 사이에는 틈새(22)가 발생하는 경우가 많다. 이 때문에, 본 실시예에서는, 실시예 1의 플라스마 처리장치(10)와 마찬가지로, 덮개(16)에 진공배기구(161)를 마련하고, 진공배기구(161)로부터 공기를 배기함으로써, 안테나 배치부(14) 내를 진공으로 하도록 했다. 이것에 의해, 틈새(22)에서 불필요한 방전이 발생하는 경우를 방지할 수 있다. 또한, 도 6에서는 설명의 형편상, 틈새(22)를 과장하여 나타내고 있다.
도 6에서는 안테나 배치부(14)를 1개만 마련한 예를 나타냈지만, 도 7에 나타내는 바와 같이, 실시예 2와 마찬가지로 안테나 배치부(14)를 복수 마련하고, 각 안테나 배치부(14)에 유전체 부재(21)를 충전한 구성을 채용해도 된다.
실시예 4
본 발명에 관한 플라스마 처리장치에서 플라스마를 효율 좋게 생성하기 위해서는, 고주파 안테나(18)에 고주파 전류를 흘림으로써 생성되는 유도전자계를 효율 좋게 플라스마 생성에 기여시키는 것이 중요하게 된다. 본 실시예에서는, 효율 좋게 플라스마를 생성할 수 있는 안테나 배치부(공동)(14)의 구조를 나타낸다.
안테나 배치부(14) 내에 배치되는 고주파 안테나(18)의 'U'자형 도체 가운데, 플라스마의 생성 및 기체(S)로의 표면처리에 가장 기여하는 것은 평행하는 2개의 직선부(182)의 도체가 아니고, 그들을 접속하는 부분의 도체이다. 이하에서는, 플라스마의 생성 및 기체(S)로의 표면처리에 가장 기여하는 부분의 도체를 「작용부」라고 부르기로 한다. 본 실시예에서는 우선, 이 작용부(181)와 공동(14)의 벽면(141)의 사이의 거리(x)에 착안한다(도 8의 (a)).
도 8의 (b)는 작용부(181)의 주위에 생성되는 유도전자계를, x를 변화시켜 시뮬레이션한 결과이다. 또한, 작용부(181)의 안테나 도체에 투입하는 고주파 전력의 주파수는 13.56㎒, 안테나 도체를 흐르는 전류는 10Arms, 안테나 도체의 지름은 6.35㎜, 안테나 도체의 도전성은 1000000S/m로 했다.
도 8의 (b)에 나타내는 바와 같이, x = 20㎜인 경우는 유도전자계의 대부분이 벽면(141)에 의해 차단됨으로써, 진공용기(11)의 내부 공간으로 방출되는 유도전자계가 적게 된다. 이것에 대해, x = 40㎜로 하면 내부 공간으로 방출되는 유도전자계가 x = 20㎜인 경우에 비해 많아지고, x = 80m까지 넓히면 거의 벽면(141)에 차단되지 않아, 효율 좋게 진공용기(11)의 내부 공간으로 방출되고 있는 것을 알 수 있다.
도 8의 (c)에는 x를 무한원(無限遠)으로 했을 경우에 진공용기(11)의 내부 공간으로 방출되는 유도전자계의 양을 기준(100%)으로 하여, x를 변화시켰을 때의 내부 공간으로 방출되는 유도전자계의 양을 시뮬레이션에 의해 비교한 결과이다. x = 20㎜일 때는 무한원으로 했을 경우의 약 30% 정도 밖에 내부 공간으로 방출되지 않지만, x = 80㎜까지 넓히면 약 90% 정도까지 진공용기(11)의 내부 공간으로 유도전자계가 방출된다. 본 실시예에서는, 무한원으로 했을 경우의 50% 이상의 유도전자계가 내부 공간으로 방출되도록 x ≥ 30㎜가 되도록 안테나 배치부(14)를 마련하기로 한다.
도 9에 실제로 안테나 배치부(14)를 x = 20㎜ 또는 83㎜로 했을 때의 고주파 전력에 대한 플라스마 밀도의 변화를 비교한 결과를 나타낸다. 도 9의 실험결과에서는 x = 20㎜와 x = 83㎜는 플라스마 밀도가 크게 다르고, x = 83㎜인 경우의 플라스마 밀도는 x = 20㎜인 경우의 플라스마 밀도의 약 200배가 되었다. 이 결과로부터, 예를 들면 고주파 안테나(18)에 투입하는 고주파 전력을 4배로 하는 것보다도 x를 4배로 하는 쪽이 플라스마의 전자밀도를 높게 하는데 효율이 좋은 것을 알 수 있다. 이것에 의해, 고밀도의 플라스마를 저비용으로 생성하는 것이 가능하게 된다.
도 10에 본 실시예의 플라스마 처리장치의 변형예를 나타낸다. 본 변형예는 안테나 배치부(14)의 형상에 착안한 것으로, 도 10의 (a) ~ (c)에 나타내는 바와 같이, 진공용기(11)의 외면(1122) 측보다도 내면(1121) 측이 폭이 넓은 구조를 가진다. 이와 같은 구조에 의해서도, 고주파 안테나(18)의 작용부(181)의 주위에 형성되는 유도전자계를 진공용기(11)의 내부 공간으로 방출하기 쉽게 할 수 있다. 또, 도시하고 있지 않지만, 안테나 배치부(14)의 내부는 유전체 재료로 충전되어 있는 것이 바람직하다.
또, 도 11에 나타내는 변형예를 이용하는 것도 생각할 수 있다. 본 변형예는 안테나 배치부(14)의 내부에서, 고주파 안테나(18)의 작용부(181)를 따라서, 진공용기(11)의 내면(1121) 측에 개구를 가지는, 페라이트 등으로 이루어지는 자성체 부재(19)를 배치한 것이다. 이 자성체 부재(19)에 의해서, 진공용기(11)의 외면(1122) 측으로 방출된 유도전자계는 자성체 부재(19)의 내부를 통과하고, 진공용기(11)의 내부 공간으로 방출되기 때문에, 작용부(181)로부터 방출되는 유도전자계를 효율 좋게 플라스마의 생성에 기여시킬 수 있다.
실시예 5
본 발명은 상기 실시예 1 ~ 4에 나타낸 것에는 한정되지 않는다. 예를 들면, 상기 실시예 1 ~ 4에서는 모두 안테나 배치부(14) 내를 진공으로 하기 위한 진공배기구(161)를 덮개(16)에 마련하고 있지만, 그 대신에, 도 12에 나타내는 바와 같이, 불활성 가스도입구(163) 및 불활성 가스배출구(164)를 덮개(16)에 마련해도 된다. 도 12의 예에서는, 불활성 가스도입구(163)로부터 아르곤이나 질소 등의 불활성 가스를 도입하고, 안테나 배치부(14) 내의 공기나 수증기를 불활성 가스로 치환하여 불활성 가스배출구(164)로부터 배기함으로써, 안테나 배치부(14) 내를 불활성 가스로 채운다. 이것에 의해, 안테나 배치부(14) 내에 불필요한 방전이 발생하는 것을 방지할 수 있다.
또, 상기 실시예 1 ~ 4에서는 모두, 안테나 배치부(14)는 상벽(112)에 마련된 관통구멍의 외면(1122) 측에 덮개(16)를 마련한 구성을 가지지만, 도 13에 나타내는 바와 같이, 상벽(112)의 내면(1121) 측에만 개구를 가지는 공동을 마련함으로써 안테나 배치부(14B)를 형성하는 구성을 이용해도 된다. 이 경우, 고주파 안테나(18)의 양단은 상벽(112)의 관통되지 않고 남은 부분에 고정된다.
10, 10A, 10B … 플라스마 처리장치 11 … 진공용기
111 … 내부 공간 112, 114 … 상벽
1121, 1141 … 내면 1122, 1142 … 외면
113 … 대략 직교 능선 12 … 기체유지부
131 … 가스도입구 132 … 가스배출구
14, 14A, 14B … 안테나 배치부(공동)
141 … 안테나 배치부(공동)의 벽면
15, 15A … 구획판 115 … 단차
16 … 덮개 161 … 진공배기구
162 … 피드쓰루 163 … 불활성 가스도입구
164 … 불활성 가스배출구 17 … 가스씰
18 … 고주파 안테나 181 … 작용부
182 … 직선부 19 … 자성체 부재
21 … 유전체 부재 22 … 틈새
S … 기체

Claims (12)

  1. a) 내부에서 플라스마 처리를 행하기 위한, 주위를 대략 직교 능선(稜線)으로 둘러싸인 벽을 가지는 밀폐용기와,
    b) 상기 벽의 내면과 외면의 사이에 마련된, 내면 측에 개구를 가지는 공동으로 이루어지는 안테나 배치부와,
    c) 상기 안테나 배치부 내에 배치된 고주파 안테나와,
    d) 상기 벽의 내면의 상기 대략 직교 능선으로 둘러싸인 부분 전체를 덮는 유전체제(製)의 구획판을 구비하는 것을 특징으로 하는 플라스마 처리장치.
  2. 청구항 1에 있어서,
    상기 공동이 상기 외면 측에 개구를 가지고, 이 외면 측 개구가 덮개로 밀폐되어 있는 것을 특징으로 하는 플라스마 처리장치.
  3. 청구항 2에 있어서,
    상기 고주파 안테나가 상기 덮개에 장착되어 있는 것을 특징으로 하는 플라스마 처리장치.
  4. 청구항 1 내지 3 중 어느 한 항에 있어서,
    상기 안테나 배치부가 밀폐되어 있는 것을 특징으로 하는 플라스마 처리장치.
  5. 청구항 4에 있어서,
    상기 안테나 배치부 내가 진공인 것을 특징으로 하는 플라스마 처리장치.
  6. 청구항 4에 있어서,
    상기 안테나 배치부 내가 불활성 가스로 채워져 있는 것을 특징으로 하는 플라스마 처리장치.
  7. 청구항 1 내지 6 중 어느 한 항에 있어서,
    상기 안테나 배치부 내에 유전체 부재가 충전되어 있는 것을 특징으로 하는 플라스마 처리장치.
  8. 청구항 1 내지 7 중 어느 한 항에 있어서,
    상기 안테나 배치부가 동일한 벽에 복수 마련되어 있는 것을 특징으로 하는 플라스마 처리장치.
  9. 청구항 1 내지 8 중 어느 한 항에 있어서,
    상기 고주파 안테나의 작용부와 이 작용부에 흐르는 전류에 수직인 방향에서의 상기 공동의 벽의 사이의 거리가 30㎜ 이상인 것을 특징으로 하는 플라스마 처리장치.
  10. 청구항 1 내지 9 중 어느 한 항에 있어서,
    상기 공동이 상기 외면 측으로부터 상기 내면 측을 향하여 폭이 넓어지도록 형성되어 있는 것을 특징으로 하는 플라스마 처리장치.
  11. 청구항 1 내지 10 중 어느 한 항에 있어서,
    상기 안테나 배치부 내에서, 상기 고주파 안테나의 작용부의 주위를, 상기 내면 측을 제외하여 자성체 부재로 덮고 있는 것을 특징으로 하는 플라스마 처리장치.
  12. 청구항 11에 있어서,
    상기 자성체 부재의 재료가 페라이트인 것을 특징으로 하는 플라스마 처리장치.
KR1020137008851A 2010-09-10 2011-09-09 플라스마 처리장치 KR101570277B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010203739 2010-09-10
JPJP-P-2010-203739 2010-09-10
PCT/JP2011/070581 WO2012033191A1 (ja) 2010-09-10 2011-09-09 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20130056900A true KR20130056900A (ko) 2013-05-30
KR101570277B1 KR101570277B1 (ko) 2015-11-18

Family

ID=45810787

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137008851A KR101570277B1 (ko) 2010-09-10 2011-09-09 플라스마 처리장치

Country Status (7)

Country Link
US (1) US20130220548A1 (ko)
EP (1) EP2615889A4 (ko)
JP (1) JP5462369B2 (ko)
KR (1) KR101570277B1 (ko)
CN (1) CN103202105B (ko)
TW (1) TWI559819B (ko)
WO (1) WO2012033191A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200035226A (ko) * 2018-09-25 2020-04-02 가부시키가이샤 프라즈마 이온 아시스토 플라즈마 처리장치 및 그 구동방법

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101594229B1 (ko) * 2010-09-06 2016-02-15 가부시키가이샤 이엠디 플라스마 처리장치
JP6101535B2 (ja) * 2013-03-27 2017-03-22 株式会社Screenホールディングス プラズマ処理装置
JP2015037110A (ja) * 2013-08-13 2015-02-23 株式会社ディスコ プラズマエッチング装置
JP6373707B2 (ja) * 2014-09-30 2018-08-15 株式会社Screenホールディングス プラズマ処理装置
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US11515122B2 (en) * 2019-03-19 2022-11-29 Tokyo Electron Limited System and methods for VHF plasma processing
US10879582B1 (en) 2019-08-12 2020-12-29 Rockwell Collins, Inc. Dielectric reinforced formed metal antenna
JP7286477B2 (ja) * 2019-08-27 2023-06-05 東レエンジニアリング株式会社 薄膜形成装置
EP3813092A1 (en) * 2019-10-23 2021-04-28 EMD Corporation Plasma source
JP7488464B2 (ja) 2020-07-27 2024-05-22 日新電機株式会社 プラズマ処理装置
JP2024017373A (ja) * 2022-07-27 2024-02-08 日新電機株式会社 プラズマ処理装置

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW296534B (ko) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
DE69510427T2 (de) 1994-10-31 1999-12-30 Applied Materials Inc Plasmareaktoren zur Halbleiterscheibenbehandlung
US6224724B1 (en) * 1995-02-23 2001-05-01 Tokyo Electron Limited Physical vapor processing of a surface with non-uniformity compensation
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
KR100428428B1 (ko) * 1996-04-12 2004-04-28 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
EP0838839B1 (en) * 1996-09-27 2008-05-21 Surface Technology Systems Plc Plasma processing apparatus
US6534922B2 (en) * 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
JP2929275B2 (ja) * 1996-10-16 1999-08-03 株式会社アドテック 透磁コアを有する誘導結合型−平面状プラズマの発生装置
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
EP1209721B1 (en) * 1997-10-10 2007-12-05 European Community Inductive type plasma processing chamber
US6197165B1 (en) * 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6117279A (en) * 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6331754B1 (en) * 1999-05-13 2001-12-18 Tokyo Electron Limited Inductively-coupled-plasma-processing apparatus
JP3609985B2 (ja) * 1999-05-13 2005-01-12 東京エレクトロン株式会社 誘導結合プラズマ処理装置
JP3836636B2 (ja) 1999-07-27 2006-10-25 独立行政法人科学技術振興機構 プラズマ発生装置
WO2002097937A1 (en) * 2001-03-23 2002-12-05 Tokyo Electron Limited Inductively coupled high-density plasma source
JP2002299331A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP2002118104A (ja) * 2001-06-22 2002-04-19 Tokyo Electron Ltd プラズマ処理装置
JP3814176B2 (ja) 2001-10-02 2006-08-23 キヤノンアネルバ株式会社 プラズマ処理装置
US7255774B2 (en) * 2002-09-26 2007-08-14 Tokyo Electron Limited Process apparatus and method for improving plasma production of an inductively coupled plasma
TW201041455A (en) * 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
KR100783983B1 (ko) * 2003-01-16 2007-12-11 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 고주파 전력 공급장치 및 플라즈마 발생장치
JP4540369B2 (ja) * 2004-03-09 2010-09-08 株式会社シンクロン 薄膜形成装置
JP2005285564A (ja) * 2004-03-30 2005-10-13 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置
JP3986513B2 (ja) * 2004-08-05 2007-10-03 株式会社シンクロン 薄膜形成装置
JP2007149638A (ja) * 2005-10-27 2007-06-14 Nissin Electric Co Ltd プラズマ生成方法及び装置並びにプラズマ処理装置
CN104821269B (zh) * 2006-05-22 2017-05-10 吉恩株式会社 感应耦合等离子体反应器
EP2087778A4 (en) * 2006-08-22 2010-11-17 Mattson Tech Inc INDUCTIVE PLASMA SOURCE WITH HIGH COUPLING EFFICIENCY
KR101021480B1 (ko) * 2007-12-07 2011-03-16 성균관대학교산학협력단 페라이트 구조체를 구비하는 플라즈마 소스 및 이를채택하는 플라즈마 발생장치
JP5121476B2 (ja) * 2008-01-29 2013-01-16 株式会社アルバック 真空処理装置
WO2009110226A1 (ja) * 2008-03-05 2009-09-11 株式会社イー・エム・ディー 高周波アンテナユニット及びプラズマ処理装置
WO2009142016A1 (ja) * 2008-05-22 2009-11-26 株式会社イー・エム・ディー プラズマ生成装置およびプラズマ処理装置
JP5400434B2 (ja) * 2009-03-11 2014-01-29 株式会社イー・エム・ディー プラズマ処理装置
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200035226A (ko) * 2018-09-25 2020-04-02 가부시키가이샤 프라즈마 이온 아시스토 플라즈마 처리장치 및 그 구동방법
US11164728B2 (en) 2018-09-25 2021-11-02 Plasma Ion Assist Co., Ltd. Plasma treatment apparatus and driving method thereof

Also Published As

Publication number Publication date
CN103202105B (zh) 2015-11-25
US20130220548A1 (en) 2013-08-29
WO2012033191A1 (ja) 2012-03-15
TW201223344A (en) 2012-06-01
CN103202105A (zh) 2013-07-10
KR101570277B1 (ko) 2015-11-18
JPWO2012033191A1 (ja) 2014-01-20
EP2615889A4 (en) 2015-11-18
JP5462369B2 (ja) 2014-04-02
TWI559819B (zh) 2016-11-21
EP2615889A1 (en) 2013-07-17

Similar Documents

Publication Publication Date Title
KR20130056900A (ko) 플라스마 처리장치
TWI391518B (zh) 離子源及電漿處理裝置
US20120031562A1 (en) Plasma processing apparatus
TWI436407B (zh) Sputtering chamber, pre-cleaning chamber and plasma processing equipment
JP5656458B2 (ja) プラズマ処理装置
JPH1055983A (ja) ファラデー・スパッタ・シールドを有する誘導結合されたプラズマリアクタ
WO2006007228A1 (en) Internal antennae for plasma processing with metal plasma
EP2408275B1 (en) Plasma processing device
JP4945566B2 (ja) 容量結合型磁気中性線プラズマスパッタ装置
KR101142411B1 (ko) 플라즈마처리장치
WO2013030954A1 (ja) スパッタリング薄膜形成装置
JP5701050B2 (ja) プラズマ処理装置
JP2011179061A (ja) スパッタリング薄膜形成装置
JP5635367B2 (ja) プラズマ処理装置
CN113745087A (zh) 基片处理装置及其制造方法和排气结构
CN115443516A (zh) 等离子体源配置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181018

Year of fee payment: 4