KR20120073270A - 계측 시스템 및 계측 방법 - Google Patents

계측 시스템 및 계측 방법 Download PDF

Info

Publication number
KR20120073270A
KR20120073270A KR1020127008652A KR20127008652A KR20120073270A KR 20120073270 A KR20120073270 A KR 20120073270A KR 1020127008652 A KR1020127008652 A KR 1020127008652A KR 20127008652 A KR20127008652 A KR 20127008652A KR 20120073270 A KR20120073270 A KR 20120073270A
Authority
KR
South Korea
Prior art keywords
detector
light
metrology system
optical element
wafer
Prior art date
Application number
KR1020127008652A
Other languages
English (en)
Other versions
KR101800471B1 (ko
Inventor
다니엘 칸델
블라디미르 레빈스키
알렉산더 스비저
조엘 셀릭슨
앤드류 힐
오하드 바차
암논 마나쎈
융호 알렉스 추앙
일란 셀라
모쉬 마코비쯔
다리아 네그리
에프라임 로템
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20120073270A publication Critical patent/KR20120073270A/ko
Application granted granted Critical
Publication of KR101800471B1 publication Critical patent/KR101800471B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N2021/4792Polarisation of scatter light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • G01N2201/06113Coherent sources; lasers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/10Scanning
    • G01N2201/105Purely optical scan

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Optical Measuring Cells (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

다양한 계측 시스템 및 방법이 제공된다.

Description

계측 시스템 및 계측 방법{METROLOGY SYSTEMS AND METHODS}
관련 출원들에 대한 상호 참조
본 출원은 2009년 9월 3일자로 출원되고 발명의 명칭이 "다기능 계측 시스템(Multifunction Metrology System)"이며 전체가 본 명세서에 기재된 것처럼 참조로 합체되는 미국 특허 출원 제61/239,699호를 우선권 주장한다.
기술분야
본 발명은 전반적으로 계측 시스템 및 계측 방법에 관한 것이다.
이하의 설명 및 예들은 이 섹션에 포함된 것으로 인해 종래 기술로 간주되지 않는다.
계측 프로세스는 웨이퍼 상에 패터닝된 구조의 폭, 웨이퍼 상에 형성된 필름의 두께 및 웨이퍼의 다른 층에 있는 패터닝된 구조에 대한 웨이퍼의 한 층에 있는 패터닝된 구조의 오버레이와 같은 웨이퍼의 다양한 특성을 결정하도록 반도체 제조 프로세서의 다양한 지점에서 웨이퍼에 대해 수행된다. 광학적 임계 치수(CD; critical dimension) 계측은 분광 산란계(spectroscopic scatterometry) 또는 각도 분석 산란계(angle-resolved scatterometry)를 이용하여 현재 수행된다. 광학 오버레이 계측은 촬상 방법 또는 산란계 기반 방법(분광 산란계 및 각도 분석 산란계 양자)을 이용하여 수행된다. 필름 계측은 분광 타원 편광 분석기를 이용하여 수행된다. 분광 타원 편광 분석기의 일례는 Norton 등에게 허여된 미국 특허 제5,859,424호에 개시되어 있고, 이 특허는 본 명세서에 그 전체가 기재된 바와 같이 참고로 합체된다.
그러나, 현재 사용되는 전술한 계측 방법들은 다수의 단점을 갖고 있다. 예컨대, 현재 광학 CD 계측 방법은 대형 격자 타겟 크기(예컨대, 50 미크론 × 50 미크론)로 제한된다. 유사하게, 산란계 기반 오버레이 방법은 최소의 격자 셀 크기가 15 미크론 × 15 미크론으로 제한된다. 종래 방법들의 다른 단점은 산란계 기반 오버레이 계측과 촬상 기반 오버레이 계측이 전적으로 별개의 플랫폼에서 실행된다는 것이다.
따라서, 전술한 단점들 중 하나 이상을 갖지 않는 계측 방법 및 시스템을 개발하는 것이 유리할 수 있다.
이하의 다양한 실시예들의 설명은 어떠한 방식으로든 첨부된 청구범위의 주제를 제한하는 것으로 해석되어서는 않된다.
일 실시예는 계측 시스템이다. 계측 시스템은 회절 제한 광선을 생성하도록 구성된 광원을 포함한다. 계측 시스템은 또한 웨이퍼 평면에서 조명 스폿의 중앙으로부터 1.5 미크론보다 먼 방사도가 조명 스폿의 중앙의 피크 방사도의 10-6보다 작도록 조명 스폿의 입사동(entrance pupil)에 광선을 형성하도록 구성된 아포다이저(apodizer)를 포함한다. 또한, 계측 시스템은 회절 제한 광선을 아포다이저로부터 웨이퍼의 격자 타겟 상의 조명 스폿으로 지향시키고 격자 타겟으로부터 산란광을 수집하도록 구성된 광학 요소를 포함한다. 계측 시스템은 수집된 산란광의 일부를 거절하도록 구성된 필드 조리개를 포함한다. 계측 시스템은 또한 격자 타겟이 산란계를 이용하여 계측 시스템에 의해 측정되도록 필드 조리개를 통과하는 산란광을 검출하고 검출된 산란광에 응답하여 출력값을 발생시키도록 구성된 검출기를 포함한다. 또한, 계측 시스템은 상기 출력값을 이용하여 격자 타겟의 특징을 결정하도록 구성된 컴퓨터 시스템을 포함한다. 계측 시스템은 본 명세서에서 설명된 바와 같이 더 구성될 수 있다.
다른 실시예는 계측 방법에 관한 것이다. 계측 방법은 웨이퍼 평면에서 조명 스폿의 중앙으로부터 1.5 미크론보다 더 먼 방사도가 조명 스폿의 중앙의 피크 방사도의 10-6보다 작도록 조명 광학기기의 입사동에 회절 제한 광선을 형성하는 것을 포함한다. 계측 방법은 또한 회절 제한 광선을 웨이퍼의 격자 타겟 상의 조명 스폿으로 지향시키는 것을 포함한다. 또한, 계측 방법은 격자 타겟으로부터 산란광을 수집하는 것을 포함한다. 계측 방법은 격자 타겟으로부터 수집된 산란광의 일부를 거절하는 것을 더 포함한다. 계측 방법은 상기 거절 이후에 산란광을 검출하는 것을 더 포함한다. 계측 방법은 또한 검출된 산란광에 응답하여 출력값을 발생시키는 것을 포함한다. 또한, 계측 방법은 상기 출력값을 이용하여 격자 타겟의 특징을 결정하는 것을 더 포함한다.
전술한 방법의 각각의 단계들은 본 명세서에 더 설명되는 바와 같이 수행될 수 있다. 전술한 방법은 본 명세서에 설명되는 임의의 다른 방법(들)의 임의의 다른 단계(들)을 포함할 수 있다. 전술한 방법은 본 명세서에 설명되는 시스템들 중 임의의 시스템을 이용하여 수행될 수 있다.
본 발명의 추가 이점은 바람직한 실시예의 이하의 상세한 설명의 이익을 갖는 당업계의 숙련자들에게 첨부한 도면을 참조하면 명백해질 것이다.
도 1은 계측 시스템의 실시예의 측면도를 도시하는 개략도.
본 발명은 다양한 수정 및 변경 형태의 여지가 있지만, 그 특정한 실시예가 도면에 일례로 도시되어 있고 여기에서 상세하게 설명될 것이다. 도면은 실척이 아닐 수 있다. 그러나, 도면 및 그 상세한 설명은 본 발명을 개시된 특정한 형태로 제한하도록 의도되지 않고, 오히려 첨부된 청구범위에 의해 한정되는 본 발명의 사상 및 범위 내에 속하는 모든 수정, 균등물 및 변경을 포함하는 것으로 의도된다는 것을 알아야 한다.
이하, 도면으로 돌아가면, 도면은 실척으로 작도되지 않았다는 것으로 유념해야 한다. 구체적으로, 도면의 요소들의 일부의 축척은 요소들의 특징을 강조하도록 크게 과장되어 있다.
일 실시예는 계측 시스템에 관한 것이다. 계측 시스템(또는 "계측 툴")은 반도체 장치 생산 및 관련 용례들에 사용하도록 의도된다. 본 명세서에서 더 설명되는 다양한 계측 작업은 리소그래피 및 식각 등과 같은 반도체 또는 관련 장치 생산의 다양한 단계들에서 수행될 수 있다. 몇몇 실시예에서, 본 명세서에 설명된 계측 시스템은 리소그래피 시스템 또는 식각 시스템 또는 몇몇 방식으로 웨이퍼를 물리적으로, 화학적으로 또는 기계적으로 변경시키는 임의의 다른 시스템과 같은 반도체 제조 시스템에 통합될 수 있다. 계측 시스템은 반도체 제조 시스템에 통합될 수 있어, 계측 시스템은 반도체 제조 시스템에 의해 웨이퍼에 수행되는 프로세스의 단계 중에 및/또는 단계 전에, 단계 후에 또는 반도체 제조 시스템으로부터 반도체를 제거하는 일 없이 반도체 제조 시스템에 의해 웨이퍼에 수행되는 프로세스의 단계들 사이에(즉, 웨이퍼가 반도체 제조 시스템 내에 배치되어 있는 동안에) 웨이퍼를 측정하고 웨이퍼의 특징을 결정할 수 있다. 계측 시스템이 반도체 제조 시스템에 어떻게 통합될 수 있는지의 예는 공통으로 소유하고 있고 본 명세서에 전체가 기재된 바와 같이 참조로 합체되는 Levy 등의 미국 특허 제6,891,627호에 설명 및 예시되어 있다.
도 1은 계측 시스템의 일 실시예를 도시한다. 계측 시스템은 회절 제한 광선을 생성하도록 구성된 광원을 포함한다. 일 실시예에서, 광원은 레이저 및 단일 모드 광섬유를 포함한다. 이 방식에서, 조명 빔은 광원으로서 단일 모드 광섬유를 통해 레이저를 이용함으로써 발생될 수 있다. 예컨대, 도 1에 도시된 바와 같이, 광원(8)은 레이저(10)와, 회절 제한 광선(14)을 발생시키는 단일 모드 광섬유(12)를 포함할 수 있다. 그러한 광원은 조명 스폿(및 가능하게는 회절 제한 조명 스폿)을 가능하게 하고, 이는 본 명세서에 더 설명되는 아포다이저(apodizer)와 함께 비교적 작은 타겟의 계측을 가능하게 한다. 광원은 단하나의 파장을 갖는 광(즉, 단광; monochromatic light), 다수의 별개의 파장들을 갖는 광(즉, 다광; polychromatic light), 또는 다수의 파장을 갖는 광(즉, 광대역 광; broadband light)을 발생시킬 수 있다. 광원에 의해 발생되는 광은 가시 파장(들) 또는 약 190 nm 내지 약 900 nm의 임의의 파장(들)과 같은 임의의 적절한 파장(들)을 가질 수 있다. 광원은 백색 광원, 자외선(UV) 레이저, 아크 램프, Energetiq Technology, Inc.(매사추세츠주 우번 소재)에서 시판 중인 EQ-1000 등의 레이저 구동식 광원, NKT Photonics Inc.(뉴저지주 모간빌 소재)에서 시판 중인 Koheras Versa 등의 초광대역 레이저(광대역 레이저) 또는 그 몇몇 조합과 같은 임의의 다른 적절한 광원을 포함할 수 있다. 광원은 또한 몇몇의 경우에 약 1 W/(nm cm2 Sr)보다 큰 휘도를 가질 수 있는 충분한 휘도를 갖는 광을 제공하도록 구성될 수 있다. 계측 시스템은 또한 그 파워 및 파장을 안정화시키기 위해 광원에 대해 신속한 피드백을 포함할 수 있다. 광원에 대한 신속한 피드백은 본 명세서에 더 설명되는 바와 같이 구성될 수 있다.
계측 시스템은 또한 웨이퍼 평면에서 조명 스폿의 중앙으로부터 1.5 미크론보다 더 멀리 있는 방사도가 스폿의 중앙의 피크 방사도의 10-6보다 작도록 조명 광학계의 입사동(entrance pupil)에 광선을 형성하도록 구성된 아포다이저를 포함한다. 예컨대, 도 1에 도시된 바와 같이, 계측 시스템은 광원에 의해 발생되는 회절 제한 광선의 경로에 위치되는 아포다이저(16)를 포함할 수 있다. 아포다이저는 계측 시스템의 조명동(illumination pupil)에 위치될 수 있다. 아포다이제이션(apodization)은 일반적으로 (예컨대, 조명 빔의 진폭 및/또는 상을 변경하도록 마스크를 이용하여) 광학 시스템의 입사동에서의 광 분포를 변경시킴으로써 조명 빔의 세기 프로파일을 변화시키는 것으로 정의될 수 있다. 본 발명에서, 아포다이저는 조명 스폿의 "테일"(예컨대, 조명 스폿의 중앙으로부터 1.5 미크론보다 큰 조명 스폿의 부분)에서의 방사도를 피크 방사도의 10-6보다 작게 감소시킴으로써, 소위 신호 오염을 감소시키도록 구성된다. 본 명세서에서 설명되는 계측 시스템에 아포다이저를 포함시키는 것은 비교적 작은 격자 타겟에서 계측을 가능하게 할 수 있는 특징들 중 하나이다.
계측 시스템은 아포다이저로부터 웨이퍼 상의 격자 타겟의 조명 스폿으로 광선을 지향시키고 격자 타겟으로부터 산란된 광을 수집하도록 구성된 광학 요소를 더 포함한다. 예컨대, 도 1에 도시된 실시예에서, 광학 요소는 굴절 광학 요소(18, 20), 빔 스플리터(22), 굴절 광학 요소(36), 빔 스플리터(38, 40) 및 굴절 광학 요소(42)를 포함할 수 있다. 굴절 광학 요소(18, 20, 24, 36, 42)는 굴절 렌즈와 같이 당업계에 공지된 임의의 적절한 굴절 광학 요소를 포함할 수 있다. 또한, 굴절 광학 요소(18, 20, 24, 36, 42)는 종래의 기수법을 이용하는 것과 동일한 방식으로 도 1에 개략적으로 도시되어 있지만, 굴절 광학 요소들의 전부 또는 일부가 동일하게 또는 상이하게 구성될 수 있거나, 그 어떤 것도 동일하게 또는 상이하게 구성되지 않을 수 있다. 더욱이, 굴절 광학 요소(18, 20, 24, 36, 42) 각각은 하나 이상의 굴절 광학 요소를 포함할 수 있다.
굴절 광학 요소(18)는 광원(8)으로부터의 굴절 제한 광선을 아포다이저(16)로 지향시키도록 구성된다. 굴절 광학 요소(20)는 아포다이저로부터 이색 빔 스플리터를 포함할 수 있는 빔 스플리터(22)를 통해 굴절 광학 요소(24)로 광선을 지향시키도록 구성된다. 굴절 광학 요소(24)는 광선을 임의의 적절한 빔 스플리터를 포함할 수 있는 빔 스플리터(26)로 지향시키도록 구성된다. 빔 스플리터(26)는 광선을 굴절 광학 요소(24)로부터 대물 렌즈(30)로 지향시키도록 구성되고, 대물 렌즈는 굴절 제한 광선을 웨이퍼(34)의 격자 타겟(도시 생략) 상의 조명 스폿(32)으로 집중시키도록 구성된다. 대물 렌즈(30)는 임의의 적절한 굴절 광학 요소(들) 및/또는 임의의 적절한 반사 광학 요소(들)를 포함할 수 있다. 예컨대, 대물 렌즈는 Roders의 미국 특허 제5,309,276호 및 Shafer 등의 제6,801,358호(이들 특허는 본 명세서에 전체가 기재된 바와 같이 참조로 합체됨)에 설명된 것과 같은 전반사 구성 또는 반사 굴절 구성을 가질 수 있다. 대물 렌즈는 또한 약 150 nm 내지 약 1000 nm의 파장을 위해 구성될 수 있다. 또한, 대물 렌즈는 조명에서 중앙에 암흑화가 없도록 구성될 수 있다.
대물 렌즈는 비교적 높은 개구수(NA: numerical aperture)의 대물 렌즈(예컨대, 약 0.9 이상의 NA를 가짐)일 수 있어, 조명동의 최적화된 선택(여기에 도시되지 않은 동공 영역)을 가능하게 한다. 본 명세서에 사용된 용어와 같이 비교적 높은 NA 대물 렌즈라 함은 대물 렌즈의 입사동의 반직경이 대물 렌즈의 초점 길이에 상당한거나, 바꿔 말해서 대물 렌즈로부터 웨이퍼 상에 방사하는 광선이 비교적 큰 원뿔 각도를 채운다는 것을 의미한다. 예컨대, 0.9의 NA를 갖는 대물 렌즈는 0.9f의 입사동 반직경을 갖는데, 여기서 f는 렌즈의 초점 길이이다. 이는 웨이퍼에 충동하는 광선의 최대 원뿔 각도가 arcsin0.9 = 64도라는 점과 동일한다. 따라서, 비교적 높은 NA 대물 렌즈는 비교적 큰 입사동을 갖는다. 이 방식에서, 상이한 부분들(예컨대, 입사동의 단하나의 부분)이 조명을 위해 사용될 수 있다. 바꿔 말하면, (여기서 조명동으로서 사용된) 입사동이 비교적 크다는 점은 입사동의 하위 영역의 선택적 조명을 가능하게 하고, 이어서 입사동은 전체 대물 렌즈 NA에 의해 제공되는 최대 조명 원뿔의 하위 원뿔로 전달된다.
동공 영역 선택은 광원으로부터의 광을 조명동의 특정한 부분으로만 지향시키도록 사용될 수 있는 임의의 적절한 광학 요소를 이용하여 수행될 수 있다. 예컨대, 광학 요소(도시 생략)는 광원을 조절함으로써 계측 시스템에 의해 수행된 측정을 위해 사용되는 조명동의 부분을 선택하도록 사용될 수 있다. 광을 공간적으로 조절하도록 사용될 수 있는 적절한 광학 요소의 예는 반사 마이크로미러 어레이 장치(예컨대, 텍사스주 달라스 소재의 Texas Instruments사에서 시판 중인 것), 회절 마이크로미러 어레이 장치(예컨대, 독일 드레스덴 소재의 Fraunhofer Institute사에서 시판 중인 것), 액정 장치(LCD; liquid crystal device), 회절 광학 요소, 고정 조리개, 광의 공간적 조절을 수행할 수 있는 임의의 다른 광학 요소(들), 또는 그 몇몇의 조합을 포함한다. 별법으로서, 광학 요소(도시 생략)는 계측 시스템에 의해 수행되는 측정에 사용하도록 조명동의 일부 또는 일부들을 선택하는 대신에 사용될 수 있다.
따라서, 굴절 광학 요소(18, 20), 빔 스플리터(22), 굴절 광학 요소(24), 빔 스플리터(26) 및 대물 렌즈(30)는 (예컨대, 산란계 측정을 위해) 광을 광원으로부터 웨이퍼로 지향시키도록 구성되는 계측 시스템의 조명 서브시스템(또는 "조명 광학기기")을 구성한다. 이 방식에서, 조명 서브시스템은 산란계 측정을 위해 사용될 수 있고, 포위된 상태로 도시되고 참조 번호 46에 의해 지시된 바와 같이 조명 서브시스템의 회절 제한 광선은 가우시안 빔(Gaussian beam)일 수 있다. 예컨대, 계측 시스템의 광원은 가우시안 빔을 제공하도록 구성될 수 있고, 아포다이저는 회절 제한 광선의 가우시안 특성을 변경시킬 수 없다.
대물 렌즈(30)는 또한 광을 웨이퍼로부터 수집하도록 구성된다. 웨이퍼로부터 수집된 광은 산란된 광을 포함한다. 그러나, 웨이퍼로부터 수집된 광은 또한 웨이퍼로부터의 다른 광(예컨대, 반사된 광)을 포함할 수 있다. 대물 렌즈(30)는 빔 스플리터(26)를 통해 수집된 광을 굴절 광학 요소(36)로 지향시키고, 이 굴절 광학 요소는 빔 스플리터(38, 40)를 통해 수집된 광을 굴절 광학 요소(42)로 지향시킨다. 굴절 광학 요소(42)는 수집된 산란된 광을 검출기(44)로 지향시키도록 구성된다. 검출기(44)는 본 명세서에서 더 설명되는 바와 같이 구성될 수 있다. 이 방식에서, 대물 렌즈(30), 빔 스플리터(26), 굴절 광학 요소(36), 빔 스플리터(38, 40), 굴절 광학 요소(42), 및 검출기(44)는 계측 시스템의 검출 서브시스템을 구성한다. 검출 서브시스템은 본 명세서에서 더 설명되는 것과 같이 산란계 측정을 위해 사용될 수 있다.
대물 렌즈의 광학 수차 사양은 본 명세서에 더 설명되는 산란계 스폿 크기로부터 유도될 수 있다. 예컨대, 계측 시스템의 각도 분석 산란계 실행을 위한 대물 렌즈의 설계 및 제작은 바람직하게는 조명 스폿 테일에서 전술한 실질적으로 낮은 방사도를 보장하도록 수행되는 것이 바람직하다. 광학 설계는 대물 렌즈의 광학 표면들 중 어느 하나로부터 다시 웨이퍼로 반사되는 비교적 강한 웨이퍼 반사로부터 초래되는 최소량의 고스팅(ghosting)을 보장하는 것이 바람직하다. 이 설계는 또한 렌즈 에지로부터의 산란을 최소화하는 과대 개구 및 간섭 효과를 감소시키는 적어도 1 mm의 공기 간격을 제공하는 것이 바람직하다. 조명으로부터의 산란은 광학 표면 및 코팅에서 비교적 낮은 표면 거칠기(통상, 약 0.1 nm 평균 제곱근(RMS)) 뿐만 아니라 비교적 낮은 표면 결함(ISO 10110에 따라 5/1X0.040 및 L1X0.006)를 보장함으로써 더 최소화되는 것이 바람직하다. 계측 시스템에 포함된 개구 및 조리개는 웨이퍼 내로의 최소 산란을 위해 사려깊게 제조된 에지를 갖고, 대물 렌즈 조립체의 내부 기계 표면은 바람직하게는 임의의 산란광을 흡수하도록 기계 가공 및 처리되는 것이 바람직하다. 광학 요소는 바람직하게는 광학 요소에서의 먼지 입자의 개수를 적절한 산란을 유발하는 레벨 아래로 유지하도록 청정 환경에서 세척 및 조립되는 것이 바람직하다. 따라서, 본 명세서에 설명된 실시예는 비교적 낮은 벗어난 광 및 이 방식에서 비교적 낮은 잔여 방사도를 보장하도록 표면 거칠기, 스크래치와 디그, 결함 및 청정도를 위한 광학 제조 요건의 사양을 가질 수 있다. 대물 렌즈에 대해 전술한 것과 유사한 고려사항이 계측 시스템에 포함된 모든 광학 요소에 적용되는 것이 바람직하다. 비교적 낮은 벗어난 광을 위한 그러한 광학 제조 요건은 본 명세서에서 설명된 임의의 다른 실시예와 조합될 수 있다.
일 실시예에서, 격자 타겟 상의 조명 스폿은 3 미크론 미만의 직경을 갖는다. 예컨대, 전술한 바와 같이, 잔여 방사도는 격자 타겟으로부터의 오버레이 계측 및 임계 치수(CD)용 성능 기준으로서 달성되고, 잔여 방사도는 웨이퍼 상의 조명 스폿의 중앙으로부터 1.5 미크론 반경 외측의 방사도이고, 잔여 방사도 한계값은 조명 스폿의 중앙에서 방사도의 10-6이다. 따라서, 조명 스폿은 대략 1.5 미크론의 반경 및 이에 따라 대략 3 미크론 이하인 직경을 가질 수 있다.
다른 실시예에서, 계측 시스템은 격자 타겟으로부터 산란된 광이 수집되는 동안에 격자 타겟을 가로질러 조명 스폿을 스캔하도록 구성된다. 예컨대, 도 1에 도시된 바와 같이, 계측 시스템은 예컨대 광원을 측방향으로 이동시켜 회절 제한 광선을 이동시킴으로써 회절 제한 광선(14)을 방향(48)으로 측방향으로 스캔하도록 구성될 수 있다. 이 방식에서, 계측 시스템은 격자 타겟을 가로질러 조명 스폿을 필드 스캔할 수 있다. 계측 시스템은 격자 타겟 영역을 가로질러 래스터(raster) 또는 다른 방식으로 스폿을 스캔할 수 있다. 계측 시스템은 격자 타겟을 가로질러 조명 스폿을 스캔하도록 임의의 적절한 장치(들)(예컨대, 기계 가공 스테이지)를 이용하도록 구성될 수 있다. 이 방식에서, 타겟에 연장된 조명 스폿을 제공하기 보다는 스폿이 격자 타겟 영역 내에서 스캔될 수 있다. [도 1에 도시된 광선(14)의 위치는 광학 시스템의 필드의 중앙을 통과하는 광선을 나타내고, 광선(50)의 위치는 광학 시스템의 동공의 중앙을 통과하는 광선을 나타내는 것으로 상이하다. 계측 시스템의 광축(49)을 따른 점들은 광선(14, 50)에 의해 나타내는 여러 광선들이 광축과 교차하는 위치를 나타낸다.]
이 방식에서, 본 명세서에서 설명되는 계측 시스템 실시예는 산란계 측정을 위해 현재 사용되는 격자 타겟보다 작은 격자 타겟에서의 측정을 가능하게 한다. 예컨대, 몇몇 실시예에서, 격자 타겟은 10 미크론 미만 × 10 미크론 미만의 측방향 치수를 갖는다. 측방향 치수는 웨이퍼의 상부면에 실질적으로 평행한 평면에서 정의된다. 한가지 그러한 예에서, 격자 타겟은 5 미크론 × 5 미크론의 측방향 치수를 가질 수 있다. 예컨대, 본 명세서에 설명되는 실시예는 비교적 작은 격자 타겟(예컨대, 5 미크론 × 5 미크론)으로부터 CD의 실질적으로 정확한 광학 측정을 가능하게 한다. 또한, 본 명세서에 설명되는 실시예는 비교적 작은 격자 셀(예컨대, 5 미크론 × 5 미크론)로부터 실질적으로 정확한 광학 산란계 오버레이 측정을 가능하게 한다. 이 방식에서, 본 명세서에서 설명되는 실시예의 한가지 이점은 계측 시스템 구성이 5 미크론 × 5 미크론 만큼 작은 격자 타겟에서의 광학 CD 계측 및 5 미크론 × 5 미크론 만큼 작은 셀 크기의 격자 타겟에서의 산란계 기반 오버레이 계측을 가능하게 한다는 것이다. 격자 타겟 자체는 당업계에 공지된 임의의 적절한 격자 타겟을 포함할 수 있다.
일 실시예에서, 광학 요소는 광학 요소의 촬상 동공의 국부화 영역으로 수집된 산란광의 고스트 이미지를 집중시키거나 촬상 동공을 가로질러 고스트 이미지를 확산시켜 고스트 이미지의 방사도를 감소시키도록 구성된다. 예컨대, 본 명세서에 설명되는 실시예는 동공 촬상 시스템에 대한 광학 설계 원리를 이용하여 구성될 수 있는데, 동공 촬상 시스템은 신호에 간섭적인 고스트 이미지를 예컨대 0.1 NA의 반경 내에 동공 중앙과 같이 동공 이미지의 특정한 국부화 영역으로 집중시키거나, 고스트 이미지를 충분히 큰 영역에 걸쳐 확산시켜 예컨대 신호의 10-6 미만으로 고스트 방사도를 최소화시킨다. 그러한 동공 고스트 영역 조절은 본 명세서에서 설명되는 다른 실시예들 중 어느 하나와 조합하여 적용될 수 있다.
계측 시스템은 또한 수집된 산란광의 일부를 거절하도록 구성된 필드 조리개를 포함한다. 예컨대, 일 실시예에서, 계측 시스템은 수집된 산란광을 필드 조리개가 거절할 수 있도록 수집된 산란광의 경로에 위치된 필드 조리개(52)를 포함한다. 이 방식에서, 수집 필드 조리개는 산란계(예컨대, 각도 분석 산란계)를 위한 수집 아암에 사용된다. 수집 필드 조리개는 타겟 주위에서 원치않는 신호의 거절과 충분한 동공 해상도 간에 최적화된 조화를 제공함으로써 비교적 작은 산란계 계측 타겟을 위한 가능한 인에이블러(enabler)이다. 예컨대, 필드 조리개 크기는 신호 오염 및 동공 촬상 해상도 간의 트레이드오프를 위해 소정의 격자 타겟 크기에 대해 최적화될 수 있다.
계측 시스템은 필드 조리개를 계측 격자 타겟에 대해 정렬시키는 방법을 수행하도록 구성될 수 있다. 필드 조리개를 계측 격자 타겟에 대해 정렬하는 것은 스폿 조명을 이용하고 다양한 구성요소들을 이 스폿에 대해 정렬시켜 수행될 수 있다. 예컨대, 조명 필드 조리개의 평면에서의 조명 스폿이 관찰될 수 있고, 조명 필드 조리개가 이 스폿에 대해 정렬될 수 있다. 미러 웨이퍼(또는 다른 적절한 반사면)가 대물 렌즈 아래의 초점에 이르게될 수 있다. 수집 필드 조리개의 평면에서 반사된 조명 스폿이 관찰될 수 있고, 수집 필드 조리개는 이 스폿에 대해 정렬될 수 있다. 웨이퍼 상의 조명 스폿은 별개의 정렬 광학기기를 통해 [예컨대, 검출기(66)와 본 명세서에서 더 설명되는 대응하는 광학 요소를 이용하여] 관찰 수 있고, 이들 광학기기는 스폿에 대해 정렬될 수 있다. 계측 격자 타겟이 시야에 이르게 될 때에, 검출기(66)와 그 대응하는 광학 요소는 타겟을 조명 스폿의 지점에 이르게 함으로써 필드 조리개를 계측 격자 타겟에 대해 정렬시키도록 사용될 수 있다.
일 실시예에서, 필드 조리개는 광축에 센터링되지 않고, 광축을 따라 수집된 산란광이 이동하여 본 명세서에서 더 설명되는 검출기 상에 수집된 산란광의 고스팅을 감소시킨다. 예컨대, 필드 조리개의 선택적 실시는 광축으로부터 필드 조리개를 오프셋시키는 실시이다. 그러한 필드 조리개의 위치 결정은 고스팅을 감소시키는 추가적 전략이다. 구체적으로, 편축 필드 조리개는 고스팅으로 인한 신호 오염을 감소, 심지어는 최소화시키도록 사용될 수 있다. 이 방식에서, 수집 필드 조리개까지 전체 광학 시스템의 설계 및 제작(수집 필드 조리개의 에지에서 광학 신호의 테일을 억압하는 아포다이저의 사용을 포함함)은 산란 및 고스팅의 최소 레벨을 보장하도록 수행될 수 있다.
또한, 계측 시스템은 필드 조리개를 통과하는 산란광을 검출하고 격자 타겟이 산란계를 이용하여 계측 시스템에 의해 측정되도록 검출된 산란광에 응답하여 출력값을 발생시키도록 구성된 검출기를 포함한다. 예컨대, 검출기(44)는 필드 조리개(52)를 통과한 산란광을 검출하고 격자 타겟이 산란계를 이용하여 계측 시스템에 의해 측정되도록 검출된 산란광에 응답하여 출력값을 발생시키도록 구성될 수 있다. 검출기는 전하 결합 디바이스(CCD; charge coupled device) 등의 임의의 적절한 검출기를 포함할 수 있다. 이 방식에서, 전술한 광원[예컨대, 레이저(10)와 단일 모드 광섬유(12)]은 산란계 광원으로서 사용될 수 있고 검출기는 산란계 계측 검출기로서 사용될 수 있다. 몇몇 실시예에서, 계측 시스템은 타겟을 가로질러 라스터 또는 다른 방식으로 스폿을 스캔하고 연속적인 스캔 지점으로부터 신호를 계속적으로 수집함으로써 직경이 0.6 미크론인 조명 스폿을 갖는 5 미크론 × 5 미크론의 계측 타겟으로부터 산란계 신호를 수집하도록 구성될 수 있다.
일 실시예에서, 산란계는 각도 분석 산란계를 포함한다. 이 방식에서, 계측 시스템은 각도 분석 산란계로서 구성될 수 있다. 바꿔 말하면, 계측 시스템은 다수의 개별 각도들에서 산란광의 세기를 측정하도록 구성될 수 있다. 그러한 측정은, 예컨대 측정들 간에 하나 이상의 광학 요소를 이동시킴으로써, 또는 동시에, 단일 검출기 또는 2개 이상의 검출기를 이용하여 수집 공간 내에서 다수의 별개 각도들에서 산란광의 세기를 개별적으로 측정함으로써 연속적으로(하나의 별개 각도 후에 다른 별개 각도) 수행될 수 있다. 또한, 그러한 계측 시스템의 실시예는 본 명세서에 설명된 임의의 다른 실시예(들)의 특징들과 조합될 수 있다. 예컨대, 각도 분석 산란계 실시예는 본 명세서에 설명된 바와 같이 구성된 동시에 원치않는 동공 고스트를 국부화된 동공 영역으로 집중시키는 필드 조리개와 조합될 수 있다. 다른 실시예에서, 산란계는 다수의 별개 파장들을 이용하여 수행되는 각도 분석 산란계를 포함한다. 계측 시스템은 동시에(병렬로) 또는 하나 후에 다른 하나를 연속적으로 여러 개의 별개 파장을 이용하는 각도 분석 산란계를 수행하도록 구성될 수 있다. 그러한 측정은 당업계에 공지된 다수의 여러 방식으로 수행될 수 있다. 계측 시스템은 또한 동시에(병렬로) 또는 하나 후에 다른 하나를 연속적으로 여러 개의 별개 파장들을 이용하고 광원 동력 및 파장을 안정화시키는 신속한 피드백을 이용하는 각도 분석 산란계를 수행하도록 구성될 수 있다. 또한, 본 명세서에서 더 설명되는 바와 같이, 광학 요소는 2개의 이상의 편광 상태에서 측정을 수행하도록 사용될 수 있는 편광기 및 분석기를 포함할 수 있다. 예컨대, 일 실시예에서, 계측 시스템은 동시에(병렬로) 또는 하나 후에 다른 하나를 연속적으로 여러 개의 편광 상태의 사용과 조합되는, 동시에(병렬로) 또는 하나 후에 다른 하나를 연속적으로 여러 개의 별개 파장들을 이용하는 각도 분석 산란계를 수행하도록 구성될 수 있다. 그러한 측정은 당업계에 공지된 다수의 여러 방식으로 수행될 수 있다. 또한, 계측 시스템은 동시에(병렬로) 또는 하나 후에 다른 하나를 연속적으로 여러 개의 편광 상태의 사용과 조합되는, 동시에(병렬로) 또는 하나 후에 다른 하나를 연속적으로 여러 개의 별개 파장들을 이용하고, 광원 동력 및 파장을 안정화시키는 신속한 피드백을 이용하는 각도 분석 산란계를 수행하도록 구성될 수 있다.
추가 실시예에서, 산란계는 분광 산란계를 포함한다. 분광 산란계는 당업계에 공지된 다수의 여러 방식으로 계측 시스템을 이용하여 수행될 수 있다. 다른 실시예에서, 산란계는 다수의 별개 각도를 이용하여 수행되는 분광 산란계를 포함한다. 다수의 별개 각도들을 이용하는 분광 산란계는 당업계에 공지된 다수의 여러 방식으로 계측 시스템 실시예를 이용하여 수행될 수 있다. 계측 시스템은 동시에(병렬로) 또는 하나 후에 다른 하나를 연속적으로 여러 개의 별개 각도들을 이용하여 분광 산란계를 수행하도록 구성될 수 있다. 또한, 계측 시스템은 동시에(병렬로) 또는 하나 후에 다른 하나를 연속적으로 여러 편광 상태의 사용과 조합되는, 동시에(병렬로) 또는 하나 후에 다른 하나를 연속적으로 여러 별개 각도들을 이용하여 분광 산란계를 수행하도록 구성될 수 있다. 그러한 측정은 당업계에 공지된 다수의 여러 방식으로 수행될 수 있다. 더욱이, 산란계는 분광 산란계 또는 각도 분석 산란계 중 어느 하나, 또는 분광 산란계 및 각도 분석 산란계 양자의 조합일 수 있다.
일 실시예에서, 광학 요소는 회절 제한 광선의 경로에 위치된 편광기와 수집된 산란광의 경로에 위치된 분석기를 포함한다. 예컨대, 도 1에 도시된 바와 같이, 광학 요소는 회절 제한 광선의 경로에 위치된 편광기(54)와, 수집된 산란광의 경로에 위치된 분석기(56)를 포함할 수 있다. 그러한 일 실시예에서, 편광기와 분석기는 산란계가 다수의 편광 상태를 이용하여 수행될 수 있도록 구성된다. 예컨대, 편광기와 분석기는 편광기와 분석기가 회전되면, 편광기와 분석기가 회절 제한 광선 및 수집된 산란광에 각각 상이한 편광을 가하도록 구성될 수 있다. 따라서, 계측 시스템은 측정에 사용된 편광 상태(들)에 따라 편광기 및/또는 분석기를 회전시킬 수 있다. 편광기와 분석기는 당업계에 공지된 임의의 적절한 편광 구성요소를 포함할 수 있다.
다른 그러한 실시예에서, 계측 시스템은 웨이퍼의 타원 편광 분석기 측정을 수행하도록 적어도 광원, 편광기와 분석기를 포함하는 광학 요소, 및 검출기를 사용하도록 구성된다. 타원 편광 분석기 측정(ellipsometry measurement)은 당업계에 공지된 임의의 방식으로 게측 시스템 실시예들을 이용하여 수행될 수 있다. 타원 편광 분석기 측정은 단일 파장 타원 편광 분석기 측정, 분광 타원 편광 분석기 측정, 가변 각도 타원 편광 분석기 측정, 가변 각도 분광 타원 편광 분석기 측정, 임의의 다른 타원 편광 분석기 측정, 또는 그 몇몇의 조합을 포함할 수 있다.
몇몇 실시예에서, 계측 시스템은 웨이퍼의 이미지를 발생시키도록 구성된 추가의 검출기를 포함한다. 이 방식에서, 계측 시스템은 웨이퍼의 촬상 기반 계측 측정을 위해 구성될 수 있다. 예컨대, 도 1에 도시된 실시예에서, 계측 시스템은 임의의 적절한 광원을 포함할 수 있는 추가의 광원(58)을 포함한다. 광원은 단하나의 파장을 갖는 광(즉, 단광), 다수의 별개 파장을 갖는 광(즉, 다광), 또는 다수의 파장을 갖는 광(즉, 광대역 광)을 발생시킬 수 있다. 광원에 의해 발생된 광은 UV 파장(등)과 같은 임의의 적절한 파장(들)을 가질 수 있다. 광원은 광원(8)에 대해 설명된 바와 같이 또한 구성될 수 있다. 더욱이, 계측 시스템은 2개의 광원, 즉 산란계 또는 다른 계측 측정을 위한 하나의 광원과 촬상을 위한 다른 하나의 광원을 포함하는 것으로 도 1에 도시되어 있지만, 계측 시스템은 산란계 및 다른 계측 시스템 뿐만 아니라 촬상을 비롯하여 본 명세서에서 설명되는 모든 측정에 사용될 수 있는 단하나의 광원을 포함할 수 있다.
계측 시스템은 또한 광을 추가의 광원(58)으로부터 빔 스플리터(22)로 지향시키도록 구성된 굴절 광학 요소(60)를 포함할 수 있다. 굴절 광학 요소(60)는 본 명세서에 설명된 바와 같이 또한 구성될 수 있다. 빔 스플리터(22)는 광을 굴절 광학 요소(60)로부터 굴절 광학 요소(24)로 지향시키도록 구성되고, 굴절 광학 요소(24)는 광을 빔 스플리터(26)로 지향시킨다. 추가의 광원으로부터의 광은 광을 웨이퍼 상에 집중시키는 대물 렌즈(30)를 통해 빔 스플리터(26)에 의해 반사된다.
웨이퍼에 의해 반사된 광은 대물 렌즈(30)에 의해 수집되는데, 대물 렌즈는 수집된 반사광을 빔 스플리터(26)를 통해 굴절 광학 요소(36)로 지향시킨다. 굴절 광학 요소(36)는 수집된 반사광을 빔 스플리터(38)로 지향시키고, 빔 스플리터(38)는 수집된 반사광을 굴절 광학 요소(62)로 반사시킨다. 굴절 광학 요소(62)는 수집된 반사광을 굴절 광학 요소(64)로 지향시키고, 굴절 광학 요소(64)는 수집된 반사광을 추가의 검출기(66)로 집중시킨다. 굴절 광학 요소(62, 64)는 본 명세서에서 설명되는 바와 같이 구성될 수 있다. 추가의 검출기는 수집된 반사광을 이용하여 웨이퍼의 이미지를 발생시키도록 구성된다. 추가의 검출기는 CCD 등의 임의의 적절한 검출기를 포함할 수 있다. 촬상 검출기는 본 명세서에서 더 설명되는 촬상 기반 계측을 위해 사용될 수 있다. 또한, 계측 시스템은 2개의 빔 촬상을 위해 또한 구성될 수 있다. 예컨대, 계측 시스템은 공동 소유 중인 Kandel 등의 미국 특허 제7,528,941호에 설명된 2개의 빔 촬상을 수행하도록 구성될 수 있는데, 상기 특허는 본 명세서에 전체가 기재된 바와 같이 참조로 합체된다. 그러한 실시예에서, 대물 렌즈를 위한 광학 수차 사양은 2개의 빔 촬상 툴 유도 시프트(TIS; tool induced shift) 에러 버짓(error budget)과 조합하여 (예컨대, 산란계 스폿 크기 요건을 위해) 전술한 바와 같이 유도될 수 있다. 더욱이, 촬상 검출기는 (예컨대, 웨이퍼 상의 격자 타겟이 추가의 검출기에 의해 발생된 웨이퍼의 이미지를 이용함으로써 광학 요소의 시야로 이동될 수 있도록) 광학 요소에 대한 웨이퍼의 네비게이션을 위해 사용될 수 있다. 이 방식에서, 본 명세서에서 설명된 계측 시스템 실시예는 동일한 플랫폼에서 산란계와 촬상 서브시스템의 조합을 포함할 수 있고, 여기서 산란계는 분광 산란계 또는 각도 분석 산란계 또는 양자의 조합일 수 있다.
웨이퍼의 이미지를 발생시키도록 사용될 수 있는 광학 요소는 광학 시스템의 출사동의 이미지가 엑세스 가능해지도록 다른 광학 요소에 의해 사용되거나 보완될 수 있다. 이 방식에서, 조리개(68) 등의 하나 이상의 광학 요소는 동공 이미지의 평면에 위치될 수 있다. 따라서, 동공 이미지에 엑세스하는 옵션이 제공된다.
계측 시스템은 다른 광학 요소를 포함할 수 있다. 예컨대, 도 1에 도시된 바와 같이, 빔 스플리터(40)는 수집된 산란광의 일부가 빔 스플리터를 통과하게 하도록 구성될 수 있어 수집된 산란광의 다른 일부를 반사시킬 수 있다. 수집된 산란광의 반사된 부분은 계측 시스템의 초점을 결정하도록 사용될 수 있다. 예컨대, 수집된 산란광의 반사된 부분이 초점 검출 초퍼(70; chopper)로 지향될 수 있고, 이 초점 검출 초퍼는 임의의 적절한 초퍼일 수 있다. 초점 검출 초퍼(70)를 통과하는 광은 검출기(72)에 의해 검출될 수 있다. 검출기(72)는 검출기에 의해 검출된 광에 응답하여 출력값을 발생시키도록 구성될 수 있다. 검출기(72)는 CCD 등의 임의의 적절한 검출기를 포함할 수 있다. 본 명세서에 더 설명되는 바와 같은 컴퓨터 시스템은 임의의 적절한 방식으로 검출기(72)에 의해 발생된 출력값을 이용하여 웨이퍼 상에 측정된 격자 타겟 또는 필름이 초점에 있는지를 결정하도록 구성될 수 있다. 계측 시스템은 격자 타겟 또는 필름이 초점 밖에 있는 것으로 결정되면 웨이퍼 또는 계측 시스템의 하나 이상의 광학 요소를 이동시키도록 구성된 스테이지 등의 하나 이상의 디바이스(도시 생략)를 포함할 수 있다.
전술한 바와 같이, 도 1에 도시된 계측 시스템은 격자 타겟이 다수의 여러 기법을 이용하여 계측 시스템에 의해 측정될 수 있도록 웨이퍼로부터 광을 검출하고 검출된 광에 응답하여 출력값을 발생시키도록 구성된 다수의 검출기를 포함한다. 도 1에 도시된 계측 시스템은 또한 추가의 검출기를 포함한다. 예컨대, 계측 시스템은 웨이퍼로부터의 광을 검출하고 검출된 광에 응답하여 출력값을 발생시키도록 구성된 분광계(도시 생략)를 포함할 수 있다. 분광계는 임의의 적절한 분광계를 포함할 수 있다. 웨이퍼로부터 산란된 광은 수집된 산란광의 경로에 위치된 빔 스플리터(도시 생략)에 의해 분광계로 지향될 수 있다. 빔 스플리터는 본 명세서에 더 설명되는 바와 같이 구성될 수 있다. 임의의 다른 적절한 광학 요소가 분광계에 의해 검출된 광의 경로에 또한 위치될 수 있다. 분광계는 파장의 함수로서 산란된 광의 세기를 측정하도록 구성될 수 있다. 이 방식에서, 계측 시스템은 분광 산란계를 이용하여 웨이퍼의 측정을 수행하도록 구성될 수 있다. 따라서, 도 1에 도시된 계측 시스템은 동일한 플랫폼에서 산란계와 촬상 시스템의 조합을 포함할 수 있고, 여기서 산란계는 분광 산란계 또는 각도 분석 산란계 또는 양자의 조합일 수 있다. 더욱이, 도 1에 도시된 검출기들 중 하나는 분광계로서 구성되거나 분광계와 대체될 수 있다. 예컨대, 빔 스플리터(38)는 수집된 산란광의 일부를 도 1에 도시된 검출기(66)로 반사시킬 수 있고, 검출기(66)는 전술한 바와 같이 구성된 분광계로서 구성되거나 분광계와 대체될 수 있다.
계측 시스템은 출력값을 이용하여 격자 타겟의 특징을 결정하도록 구성된 컴퓨터 시스템을 더 포함한다. 예컨대, 도 1에 도시된 바와 같이, 계측 시스템은 검출기(44)에 의해 발생된 출력값을 이용하여 격자 타겟의 특징을 결정하도록 구성된 컴퓨터 시스템(74)을 포함한다. 이 방식에서, 컴퓨터 시스템은 격자 타겟의 특징을 결정하도록 산란광에 응답하여 검출기로부터의 출력값을 사용하도록 구성될 수 있다. 또한, 컴퓨터 시스템은 검출기(66)로부터의 출력값을 이용하여 격자 타겟의 특징을 결정하도록 구성될 수 있다. 이 방식에서, 컴퓨터 시스템은 격자 타겟의 특징을 결정하도록 웨이퍼의 이미지 또는 반사광에 응답하여 검출기로부터의 출력값을 이용하도록 구성될 수 있다. 컴퓨터 시스템은 당업계에 공지된 임의의 적절한 방법(들), 알고리즘(들), 모델(들), 기법(들)을 이용하여 격자 타겟의 특징을 결정할 수 있다.
컴퓨터 시스템은 (예컨대, 당업계에 공지된 임의의 적절한 전달 매체를 포함할 수 있는 도 1에 점선으로 도시된 하나 이상의 전달 매체에 의해) 검출기(44, 66)에 연결되어, 컴퓨터 시스템은 검출기에 의해 발생된 출력값을 수신할 수 있다. 컴퓨터 시스템은 임의의 적절한 방식으로 각 검출기에 연결될 수 있다. 또한, 컴퓨터 시스템은 유사한 방식으로 계측 시스템에 포함된 임의의 다른 검출기에 연결될 수 있다. 컴퓨터 시스템(74)은 개인용 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 시스템 컴퓨터, 이미지 컴퓨터, 프로그램 가능한 이미지 컴퓨터, 병렬 프로세서, 또는 당업계에 공지된 임의의 다른 디바이스를 비롯하여 다양한 형태를 취할 수 있다. 일반적으로, "컴퓨터 시스템"이라는 용어는 메모리 매체로부터의 지시를 수행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 포함하도록 광범위하게 정의될 수 있다.
일 실시예에서, 특징들은 격자 타겟에서 패터닝된 구조의 CD를 포함한다. 컴퓨터 시스템은 공통으로 양도된 Fabrikan 등의 미국 특허 제7,511,830호(본 명세서에 전체가 기재된 바와 같이 참조로 합체됨)에 설명된 산란계 측정을 이용하여 또는 임의의 다른 적절한 방식으로 패터닝된 구조의 CD를 결정할 수 있다. 이 방식에서, 본 명세서에 설명된 실시예는 아포다이저, 필드 조리개, 및 광학 CD 계측을 위한 비교적 작은 격자 타겟을 조합할 수 있다. 다른 실시예에서, 특징들은 웨이퍼 상에 형성된 다른 격자 타겟의 패터닝된 구조에 대해 격자 타겟에서 패터닝된 구조의 오버레이를 포함한다. 격자 타겟과 다른 격자 타겟은 웨이퍼의 여러 층들 상에 형성된다. 컴퓨터 시스템은 공통으로 양도된 Fabrikan 등의 미국 특허 제7,616,313호 및 Mieher 등의 제7,663,753호(본 명세서에 전체가 기재된 바와 같이 참조로 합체됨)에 설명된 바와 같은 수집된 산란광에 응답한 출력값을 이용하여 또는 임의의 다른 적절한 방식으로 오버레이를 결정하도록 구성될 수 있다. 이 방식에서, 본 명세서에 설명된 실시예는 아포다이저, 필드 조리개, 및 산란계 오버레이 계측을 위한 비교적 작은 격자 타겟의 세트를 조합할 수 있다. 또한, 본 명세서에 설명된 실시예는 아포다이저, 필드 조리개, 비교적 작은 격자 타겟, 및 CD와 오버레이 계측을 위한 동공 촬상을 조합할 수 있다. 더욱이, 본 명세서에 설명된 실시예는 아포다이저, 필드 조리개, 비교적 작은 격자 타겟, 동공 촬상, 및 CD와 오버레이 계측을 위한 가시 및 근자외선 또는 원자외선에서 별개 조명 스펙트럼선을 조합할 수 있다. 또한, 전술한 바와 같이, 산란계는 분광 산란계를 포함할 수 있다. 격자 타겟의 CD 및 오버레이는 격자 타겟의 분광 산란계 측정을 이용하여 결정될 수 있다.
위에서 더 설명된 일 실시예에서, 계측 시스템은 웨이퍼의 이미지를 발생시키도록 구성된 추가의 검출기를 포함한다. 그러한 일 실시예에서, 컴퓨터 시스템은 이미지를 이용하여 격자 타겟의 추가 특징을 결정하도록 구성된다. 컴퓨터 시스템은 이미지와 임의의 적절한 방법(들), 알고리즘(들), 기법(들), 모델(들) 등을 이용하여 격자 타겟의 추가 특징을 결정하도록 구성될 수 있다. 몇몇의 그러한 실시예에서, 특징은 산란계 기반 오버레이를 포함하고 추가의 특징은 촬상 기반 오버레이를 포함한다. 컴퓨터 시스템은 임의의 적절한 방식으로 촬상 기반 오버레이를 결정하도록 구성될 수 있다. 예컨대, 컴퓨터 시스템은 공통으로 양도된 Ghinovker의 미국 특허 제7,541,201호(본 명세서에 전체가 기재된 바와 같이 참조로 합체됨)에 설명된 바와 같이 촬상을 이용하여 오버레이를 결정하도록 구성될 수 있다. 따라서, 본 명세서에 설명된 실시예는 단일의 플랫폼에서 산란계 기반 및 촬상 기반 오버레이 계측이 모두 가능하다.
추가의 그러한 실시예에서, 광학 요소는 격자 타겟으로부터의 산란광을 수집하도록 구성되고 이미지를 발생시키도록 사용된 웨이퍼로부터의 광을 수집하도록 구성된 대물 렌즈를 포함한다. 예컨대, 도 1에 도시된 바와 같이, 광학 요소는 격자 타겟으로부터의 산란광을 수집하도록 구성되고 이미지를 발생시키기 위해 사용되는 웨이퍼로부터의 광을 수집하도록 구성되는 대물 렌즈(30)를 포함할 수 있다. 이 방식에서, 각도 분석 산란계 대물 렌즈와 촬상 오버레이 대물 렌즈는 동일한 광학 요소(들)일 수 있다. 그러나, 다른 선택으로는 2개의 별개의 대물 렌즈(도시 생략), 즉 각도 분석 산란계를 위한 1개와 오버레이를 촬상하기 위한 다른 1개를 실시하는 것이 있다. 계측 시스템이 2개의 그러한 별개의 대물 렌즈를 포함하면, 계측 시스템은 수행될 측정(산란계 또는 촬상)에 따라 광학기기를 이동시키도록 구성될 수 있다. 그러한 경우에, 계측 시스템은 상이한 타입의 측정들 사이에서 대물 렌즈를 전환시키는 동시에 광학 요소들의 나머지 중에서 2개의 별개의 광학 서브조립체를 수동적으로 또는 능동적으로 선택하도록 구성될 수 있다. 별법으로서, 계측 시스템이 2개의 그러한 별개의 대물 렌즈를 포함하면, 계측 시스템은 대물 렌즈(및 대응하는 광학 요소)가 고정되도록 구성될 수 있다. 그러한 경우에, 계측 시스템은 2개의 불변의 고정된 광학 컬럼(하나의 컬럼은 각 대물 렌즈 및 그 대응하는 광학 요소를 위한 것임)를 포함할 수 있고, 계측 시스템이 웨이퍼에서 수행될 측정에 따라 하나의 광학 컬럼의 시야로부터 다른 시야로 이동되도록 계측 시스템이 웨이퍼를 이동시키도록 구성될 수 있다.
컴퓨터 시스템은 출력부에서 필드 조리개의 효과를 설명함으로써 격자 타겟의 특징을 결정하도록 구성될 수 있다. 예컨대, 컴퓨터 시스템은 비교적 작은 수집 필드 조리개에 의해 웨이퍼로부터 산란된 신호의 변화를 설명하는 알고리즘을 이용하도록 구성될 수 있다. 또한, 본 명세서에 설명된 실시예는 아포다이저, 수집 필드 조리개, 및 CD와 오버레이 계측을 위한 수집 필드 조리개로 인한 산란된 세기의 변화를 설명하는 알고리즘을 조합할 수 있다.
전술한 바와 같이, 계측 시스템은 웨이퍼의 타원 편광 분석기 측정을 수행하도록 구성될 수 있다. 한가지 그러한 실시예에서, 컴퓨터 시스템은 타원 편광 분석기 측정 중에 검출기에 의해 발생된 출력값을 이용하여 웨이퍼에 형성된 필름의 특징을 결정하도록 구성된다. 예컨대, 필름의 특징은 필름 두께, 굴절률, 및 사용된 타원 편광 분석기 측정을 결정할 수 있는 임의의 다른 얇은 필름 특징을 포함할 수 있다. 컴퓨터 시스템은 임의의 적절한 방식으로 필름의 특징을 결정하도록 구성될 수 있다. 예컨대, 컴퓨터 시스템은 공통으로 양도된 Bareket 등의 미국 특허 제7,515,253호(본 명세서에 전체가 기재된 바와 같이 참조로 합체됨)에 설명된 바와 같이 타원 편광 분석기 측정을 이용하여 필름 및 다른 특징을 결정하도록 구성될 수 있다. 또한, 컴퓨터 시스템은 필름의 분광 산란계 측정을 이용하여 필름의 특징을 결정하도록 구성될 수 있다. 그러한 실시예는 본 명세서에 설명되는 임의의 다른 실시예와 조합될 수 있다. 예컨대, 계측 시스템의 한 실시예는 광학 CD 계측 시스템, 산란계와 촬상 오버레이 계측 시스템 및 필름 계측 시스템의 동일한 플랫폼 상의 조합을 포함할 수 있다. 또한, 전술한 바와 같이, 계측 시스템은 반도체 제조 시스템에 통합될 수 있다. 이 방식에서, 계측 시스템은 산란계 오버레이, CD, 필름 두께 및 UV 2개의 빔 촬상 오버레이를 측정하도록 사용될 수 있는 리소그래피 시스템을 위한 단일의 통합 가능한 센서로서 사용될 수 있다. 그러한 계측 시스템은 16 nm 기법 노드 요건을 충족시킨다.
본 명세서에 설명된 실시예들의 한가지 이점은 계측 시스템이 다기능 계측 시스템으로서 구성 및 사용될 수 있다는 것이다. 예컨대, 계측 시스템은 상이한 용례의 조합을 단일의 플랫폼에서 제공하도록 구성된다. 이 방식에서, 계측 시스템은 본 명세서에 설명된 기법들 중 하나 이상을 이용하여 웨이퍼 상의 격자 타겟 또는 다른 구조들(요부들)의 2개 이상의 특징을 결정하도록 구성될 수 있다. 바꿔 말하면, 다수의 계측 작업은 산란계 및 촬상 방법 등의 여러 계측 방법의 적절한 선택 또는 조합에 의해 수행될 수 있다. 한가지 그러한 예에서, 컴퓨터 시스템은 산란계 측정을 이용하는 다른 패터닝된 구조에 대해 패터닝된 구조의 오버레이 및 계측 시스템을 이용하여 수행되는 산란계 측정, 계측 시스템을 이용하여 수행되는 다른 산란계 측정, 또는 계측 시스템을 이용하여 수행되는 촬상을 이용하여 전술한 바와 같이 격자 타겟에서 패터닝된 구조의 CD를 결정할 수 있다. 다른 예에서, 컴퓨터 시스템은 산란계 측정을 이용하여 CD를, 산란계 측정 또는 촬상 결과를 이용하여 오버레이를, 그리고 타원 편광 분석기 측정을 이용하여 얇은 필름 특징을 결정할 수 있다. 이 방식에서, 본 명세서에 설명되는 실시예는 하나의 계측 툴에 이하의 계측 작업들, 즉 광학 CD 계측, 광학 오버레이 계측 및 필름 계측을 조합할 수 있다. 또한, 컴퓨터 시스템은 본 명세서에 설명된 기법들 중 임의의 조합을 이용하여 본 명세서에 설명된 특징들의 임의의 다른 조합을 결정할 수 있다.
몇몇의 실시예에서, 광학 요소는 필드 조리개를 통과하는 산란광을 검출기의 제1 부분만으로 지향시키도록 구성된다. 한가지 그러한 실시예에서, 광학 요소는 회절 제한 광선의 일부를 웨이퍼로 먼저 지향시키는 일 없이 회절 제한 광선의 일부를 검출기의 제2 부분만으로 지향시키도록 구성되고, 검출기의 제2 부분은 검출기의 제1 부분과 오버랩되지 않는다. 예컨대, 계측 시스템은 공간적으로 상관된 소음을 측정하고 선택적으로 보정, 억제 및 제거하는 방법을 수행할 수 있는데, 광원으로부터의 광의 기준부는 계측 신호와의 중첩없이 계측 검출기의 부분(예컨대, CCD 또는 카메라)로 지향된다. 광원의 기준부는 바람직하게는 웨이퍼로부터 반사하는 일없이 실제 신호 경로를 갖는 최대 가능한 공통 경로를 이용한다. 이 보정의 유리한 특징은 신호 수집과 동시에 발생할 수 있고 보정 신호와 동일한 시간 간격에서 수집된 신호를 보정하도록 사용될 수 있다는 것이다. 이 방식에서, 계측 시스템은 그 동력 및 파장을 안정화시키는 광원으로 신속한 피드백을 수행하도록 구성될 수 있다.
다른 실시예에서, 광학 요소는 필드 조리개를 통과한 산란광을 검출기의 제1 부분으로만 지향시키도록 구성되고, 검출기의 제2 부분에는 광이 보이지 않는다. 검출기의 제1 부분과 오버랩하지 않는 검출기의 제2 부분에 의해서만 발생되는 출력값은 검출기의 제1 부분에서 검출된 산란광에 응답하는 검출기에 의해 발생된 출력값을 보정하도록 컴퓨터 시스템에 의해 사용된다. 이 방식에서, 검출기의 일부가 보정을 위해 사용될 수 있다. 예컨대, 계측 시스템은 시스템의 임의의 광에 의해 노출되지 않은, 예컨대 신호 자체, 전술한 조명 광선의 기준부 또는 임의의 다른 광에 의해 노출되지 않은 계측 검출기(예컨대, CCD 또는 카메라)의 일부를 이용하여 다크 노이즈(dark noise)의 공간적으로 상관된 부분을 측정 및 선택적으로 보정, 억제 및 제거하는 방법을 수행하도록 구성될 수 있다. 이 보정의 유리한 특징은 신호 수집과 동시에 발생할 수 있고 보정 신호와 동일한 시간 간격에 수집된 신호를 보정하도록 사용된다는 것이다.
본 명세서에 설명된 실시예는 본 명세서에 설명된 용례를 위한 미래의 요건을 수용하도록 확장성 계측 시스템을 제공한다. 예컨대, 전술한 바와 같이, 계측 시스템은 가시 광원을 포함할 수 있다. 이 방식에서, 계측 측정(예컨대, 각도 분석 산란계)는 가시 스펙트럼 영역에서 수행될 수 있다. 그러나, 각도 분석 산란계의 작동은 근자외선 또는 원자외선의 스펙트럼 영역으로 연장될 수 있다. 그러한 스펙트럼 영역에서의 작동은 모든 광학 구성요소, 구체적으로 대물 렌즈에 대해 추가의 요건을 부과할 것이다. 또한, 계측 시스템은 구성 가능(예컨대, 통합 가능, 캘리포니아주 밀피타스 소재의 KLA-Tencor사에서 시판 중인 Archer 300 시리즈의 툴과 같은 다른 시판 중인 계측 시스템과 조합 가능, 촬상을 위해서만 구성 가능, 산란계만을 위해서만 구성 가능 등)하다. 더욱이, 본 명세서에 설명된 계측 시스템은 계측 시스템이 격자 타겟 위에서 조명 스폿을 스캔하도록 구성될 수 있기 때문에 즉시 개념(이 경우에, 이동하는 중에 타겟의 측정)과 호환될 수 있다.
다른 실시예는 계측 방법에 관한 것이다. 계측 방법은 웨이퍼 평면에서 조명 스폿의 중앙으로부터 1.5 미크론보다 먼 방사도가 스폿의 중앙의 피크 방사도의 10-6보다 작도록 조명 광학기기의 입사동에 회절 제한 광선을 성형하는 것을 포함한다. 이 방식에서 회절 제한 광선의 성형은 (예컨대, 본 명세서에 더 설명되는 바와 같이 아포다이저를 이용하여) 본 명세서에서 더 설명되는 바와 같이 수행될 수 있다. 계측 방법은 또한 회절 제한 광선을 웨이퍼 상의 격자 타겟의 조명 스폿으로 지향시키는 것을 포함한다. 조명 스폿으로 광선의 지향은 (예컨대, 본 명세서에 더 설명되는 광학 요소를 이용하여) 본 명세서에 더 설명되는 바와 같이 수행될 수 있다. 또한, 계측 방법은 격자 타겟으로부터 산란광을 수집하는 것을 포함한다. 격자 타겟으로부터 산란광의 수집은 (예컨대, 본 명세서에 더 설명되는 광학 요소를 이용하여) 본 명세서에 더 설명되는 바와 같이 수행될 수 있다. 계측 방법은 격자 타겟으로부터 수집된 산란광의 일부를 거절하는 것을 더 포함한다. 수집된 산란광의 일부의 거절은 (예컨대, 본 명세서에 설명되는 필드 조리개를 이용하여) 본 명세서에 더 설명되는 바와 같이 수행될 수 있다. 계측 방법은 또한 수집된 산란광의 일부를 거절하는 것에 이어서 산란광을 검출하는 것을 포함한다. 또한, 계측 방법은 검출된 산란광에 응답하여 출력값을 발생시키는 것을 포함한다. 산란광의 검출 및 출력값의 발생은 (예컨대, 본 명세서에 설명되는 검출기를 이용하여) 본 명세서에 더 설명되는 바와 같이 수행될 수 있다. 계측 방법은 출력값을 이용하여 격자 타겟의 특징을 결정하는 것을 더 포함한다. 특징의 결정은 (예컨대, 본 명세서에 설명되는 광학 요소를 이용하여) 본 명세서에 더 설명되는 바와 같이 수행될 수 있다.
방법은 또한 저장 매체에 방법의 단계(들) 중 임의의 단계의 결과를 저장하는 것을 포함할 수 있다. 그 결과는 본 명세서에 설명되는 결과들 중 임의의 결과를 포함할 수 있고 당업계에 공지된 임의의 방식으로 저장될 수 있다. 저장 매체는 당업계에 공지된 임의의 적절한 저장 매체를 포함할 수 있다. 결과가 저장된 후에, 그 결과는 저장 매체에서 엑세스되고 본 명세서에서 설명된 바와 같이 사용되고, 유저에게 디스플레이하도록 포맷되고, 다른 소프트웨어 모듈, 방법 또는 시스템 등에 의해 사용될 수 있다. 더욱이, 그 결과는 "영구적으로", "반영구적으로", 일시적으로 또는 일부 시간 동안 저장될 수 있다. 예컨대, 저장 매체는 랜덤 엑세스 메모리(RAM)일 수 있고, 그 결과는 저장 매체에서 반드시 무기한 지속될 필요는 없다.
전술한 방법은 본 명세서에서 설명되는 임의의 방법(들)의 임의의 다른 단계(들)을 포함할 수 있다. 또한, 전술한 방법은 본 명세서에 설명되는 임의의 계측 시스템 실시예에 의해 수행될 수 있다.
본 발명의 다양한 양태의 추가 수정예 및 변경예는 이 설명의 관점에서 당업계에 숙련자에게 명백할 것이다. 예컨대, 계측 시스템 및 방법이 제공된다. 따라서, 이 설명은 오직 예시로서 해석되고 본 발명을 수행하는 일반적인 방식을 당업계의 숙련자에게 교시하기 위한 것이다. 본 명세서에 도시 및 설명된 본 발명의 형태는 현재 바람직한 실시예로서 취한 것이라는 것을 알아야 한다. 본 발명의 이 설명의 이익을 갖는 당업계의 숙련자에게 명백한 바와 같이, 요소들 및 재료들은 본 명세서에 설명된 것으로 대체될 수 있고, 부품들 및 프로세스들은 반대로 될 수 있으며, 본 발명의 특정한 특징은 독립적으로 이용될 수 있다. 이하의 청구범위에서 설명되는 바와 같이 본 발명의 사상 및 범위로부터 벗어남이 없이 본 명세서에 설명된 요소들에 수정이 이루어질 수 있다.

Claims (22)

  1. 계측 시스템으로서,
    회절 제한 광선을 생성하도록 구성된 광원과,
    웨이퍼 평면에서 조명 스폿의 중앙으로부터 1.5 미크론보다 먼 방사도가 조명 스폿의 중앙의 피크 방사도의 10-6보다 작도록 조명 스폿의 입사동(entrance pupil)에 광선을 형성하도록 구성된 아포다이저(apodizer)와,
    상기 회절 제한 광선을 아포다이저로부터 웨이퍼의 격자 타겟 상의 조명 스폿으로 지향시키고 격자 타겟으로부터 산란광을 수집하도록 구성된 광학 요소와,
    상기 수집된 산란광의 일부를 거절하도록 구성된 필드 조리개와,
    격자 타겟이 산란계를 이용하여 계측 시스템에 의해 측정되도록 필드 조리개를 통과하는 산란광을 검출하고 검출된 산란광에 응답하여 출력값을 발생시키도록 구성된 검출기와,
    상기 출력값을 이용하여 격자 타겟의 특징을 결정하도록 구성된 컴퓨터 시스템
    을 포함하는 계측 시스템.
  2. 제1항에 있어서, 상기 광원은 레이저와 단일 모드 광섬유를 포함하는 것인 계측 시스템.
  3. 제1항에 있어서, 상기 격자 타겟 상의 조명 스폿은 3 미크론보다 작은 직경을 갖는 것인 계측 시스템.
  4. 제1항에 있어서, 상기 격자 타겟은 10 미크론 미만 × 10 미크론 미만의 측방향 치수를 갖는 것인 계측 시스템.
  5. 제1항에 있어서, 상기 계측 시스템은 격자 타겟으로부터의 산란광이 수집되는 동안에 격자 타겟을 가로질러 조명 스폿을 스캔하도록 구성되는 것인 계측 시스템.
  6. 제1항에 있어서, 상기 산란계는 각도 분석 산란계를 포함하는 것인 계측 시스템.
  7. 제1항에 있어서, 상기 산란계는 다수의 별개 파장을 이용하여 수행되는 각도 분석 산란계를 포함하는 것인 계측 시스템.
  8. 제1항에 있어서, 상기 산란계는 분광 산란계를 포함하는 것인 계측 시스템.
  9. 제1항에 있어서, 상기 산란계는 다수의 별개 각도를 이용하여 수행되는 분광 산란계를 포함하는 것인 계측 시스템.
  10. 제1항에 있어서, 상기 광학 요소는 회절 제한 광선의 경로에 위치된 분광기와 수집된 산란광의 경로에 위치된 분석기를 포함하고, 상기 분광기와 분석기는 산란계가 다수의 편광 상태를 이용하여 수행될 수 있도록 구성되는 것인 계측 시스템.
  11. 제1항에 있어서, 상기 광학 요소는 회절 제한 광선의 경로에 위치된 편광기와 수집된 산란광의 경로에 위치된 분석기를 포함하고, 계측 시스템은 적어도 광원과, 편광기와 분석기를 포함하는 광학 요소와, 웨이퍼의 타원 편광 분석기 측정을 수행하는 검출기를 이용하도록 구성되는 것인 계측 시스템.
  12. 제11항에 있어서, 상기 컴퓨터 시스템은 타원 편광 분석기 측정 중에 검출기에 의해 발생된 출력값을 이용하여 웨이퍼 상에 형성된 필름의 특징을 결정하도록 더 구성되는 것인 계측 시스템.
  13. 제1항에 있어서, 상기 필드 조리개는 수집된 산란광이 이동하는 광축에 센터링되지 않아 검출기에서 수집된 산란광의 고스팅을 감소시키는 것인 계측 시스템.
  14. 제1항에 있어서, 상기 광학 요소는 수집된 산란광의 고스트 이미지를 광학 요소의 촬상 동공의 국부화된 영역으로 집중시키거나 촬상 동공을 가로질러 고스트 이미지를 확산시켜 고스트 이미지의 방사도를 감소시키도록 더 구성되는 것인 계측 시스템.
  15. 제1항에 있어서, 상기 특징은 격자 타겟에서 패터닝된 구조의 임계 치수를 포함하는 것인 계측 시스템.
  16. 제1항에 있어서, 상기 특징은 웨이퍼 상에 형성된 다른 격자 타겟의 패터닝된 구조에 대해 격자 타겟에서 패터닝된 구조의 오버레이를 포함하고, 격자 타겟 및 다른 격자 타겟은 웨이퍼의 상이한 층에 형성되는 것인 계측 시스템.
  17. 제1항에 있어서, 웨이퍼의 이미지를 발생시키도록 구성된 추가의 검출기를 더 포함하고, 컴퓨터 시스템은 이미지를 이용하여 격자 타겟의 추가 특징을 검출하도록 더 구성되는 것인 계측 시스템.
  18. 제17항에 있어서, 상기 특징은 산란계 기반 오버레이를 포함하고, 상기 추가의 특징은 촬상 기반 오버레이를 포함하는 것인 계측 시스템.
  19. 제17항에 있어서, 상기 광학 요소는 격자 타겟으로부터 산란광을 수집하도록 구성되고 이미지를 발생시키도록 사용되는 웨이퍼로부터 광을 수집하도록 구성되는 대물 렌즈를 포함하는 것인 계측 시스템.
  20. 제1항에 있어서, 상기 광학 요소는 필드 조리개를 통과하는 산란광을 검출기의 제1 부분만으로 지향시키도록 더 구성되고, 광학 요소는 회절 제한 광선의 일부를 웨이퍼로 먼저 지향시키는 일 없이 회절 제한 광선의 일부를 검출기의 제2 부분으로만 지향시키도록 더 구성되며, 검출기의 제2 부분은 검출기의 제1 부분과 오버랩되지 않는 것인 계측 시스템.
  21. 제1항에 있어서, 상기 광학 요소는 필드 조리개를 통과하는 산란광을 검출기의 제1 부분만으로 지향시키도록 더 구성되고, 검출기의 제2 부분에는 광이 보이지 않으며, 검출기의 제2 부분에 의해서만 발생되는 출력부는 검출기의 제1 부분에서 검출된 산란광에 응답하는 검출기에 의해 발생된 출력값을 보정하도록 컴퓨터 시스템에 의해 사용되고, 검출기의 제2 부분은 검출기의 제1 부분과 오버랩되지 않는 것인 계측 시스템.
  22. 계측 방법으로서,
    웨이퍼 평면에서 조명 스폿의 중앙으로부터 1.5 미크론보다 더 먼 방사도가 조명 스폿의 중앙의 피크 방사도의 10-6보다 작도록 조명 광학기기의 입사동에 회절 제한 광선을 형성하는 것과,
    회절 제한 광선을 웨이퍼의 격자 타겟 상의 조명 스폿으로 지향시키는 것과,
    격자 타겟으로부터 산란광을 수집하는 것과,
    격자 타겟으로부터 수집된 산란광의 일부를 거절하는 것과,
    상기 거절 이후에 산란광을 검출하는 것과,
    검출된 산란광에 응답하여 출력값을 발생시키는 것과,
    상기 출력값을 이용하여 격자 타겟의 특징을 결정하는 것
    을 포함하는 계측 방법.
KR1020127008652A 2009-09-03 2010-09-01 계측 시스템 및 계측 방법 KR101800471B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US23969909P 2009-09-03 2009-09-03
US61/239,699 2009-09-03
US12/872,988 US8441639B2 (en) 2009-09-03 2010-08-31 Metrology systems and methods
US12/872,988 2010-08-31
PCT/US2010/047539 WO2011028807A2 (en) 2009-09-03 2010-09-01 Metrology systems and methods

Publications (2)

Publication Number Publication Date
KR20120073270A true KR20120073270A (ko) 2012-07-04
KR101800471B1 KR101800471B1 (ko) 2017-11-22

Family

ID=43649947

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127008652A KR101800471B1 (ko) 2009-09-03 2010-09-01 계측 시스템 및 계측 방법

Country Status (8)

Country Link
US (3) US8441639B2 (ko)
EP (1) EP2474027B1 (ko)
JP (3) JP5801307B2 (ko)
KR (1) KR101800471B1 (ko)
CN (3) CN102668052B (ko)
IL (1) IL218180A0 (ko)
SG (1) SG178432A1 (ko)
WO (1) WO2011028807A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150090180A (ko) * 2012-11-27 2015-08-05 케이엘에이-텐코 코포레이션 동공 결상 산란율 측정을 위한 아포다이제이션

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8896832B2 (en) * 2010-06-17 2014-11-25 Kla-Tencor Corp. Discrete polarization scatterometry
NL2006935A (en) 2010-06-28 2011-12-29 Asml Netherlands Bv Inspection apparatus and method.
US8954184B2 (en) * 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
WO2012109348A1 (en) 2011-02-10 2012-08-16 Kla-Tencor Corporation Structured illumination for contrast enhancement in overlay metrology
JP5996635B2 (ja) * 2011-05-03 2016-09-21 アプライド マテリアルズ イスラエル リミテッド マルチスポット収集光学系
US8456639B2 (en) * 2011-07-01 2013-06-04 Kla-Tencor Corporation Measurement of critical dimension
US9234843B2 (en) 2011-08-25 2016-01-12 Alliance For Sustainable Energy, Llc On-line, continuous monitoring in solar cell and fuel cell manufacturing using spectral reflectance imaging
EP2579100A3 (en) * 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
US9400246B2 (en) 2011-10-11 2016-07-26 Kla-Tencor Corporation Optical metrology tool equipped with modulated illumination sources
US9228943B2 (en) * 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US10088413B2 (en) * 2011-11-21 2018-10-02 Kla-Tencor Corporation Spectral matching based calibration
US8982358B2 (en) 2012-01-17 2015-03-17 Kla-Tencor Corporation Apparatus and method of measuring roughness and other parameters of a structure
US9176069B2 (en) * 2012-02-10 2015-11-03 Kla-Tencor Corporation System and method for apodization in a semiconductor device inspection system
WO2013124131A2 (en) * 2012-02-21 2013-08-29 Asml Netherlands B.V. Inspection apparatus and method
KR102231730B1 (ko) * 2012-06-26 2021-03-24 케이엘에이 코포레이션 각도 분해형 반사율 측정에서의 스캐닝 및 광학 계측으로부터 회절의 알고리즘적 제거
US9116103B2 (en) 2013-01-14 2015-08-25 Kla-Tencor Corporation Multiple angles of incidence semiconductor metrology systems and methods
US9123649B1 (en) 2013-01-21 2015-09-01 Kla-Tencor Corporation Fit-to-pitch overlay measurement targets
US9512985B2 (en) 2013-02-22 2016-12-06 Kla-Tencor Corporation Systems for providing illumination in optical metrology
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
WO2015006233A1 (en) * 2013-07-09 2015-01-15 Kla-Tencor Corporation Aperture alignment in scatterometry metrology systems
US9189705B2 (en) 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
WO2015039031A1 (en) * 2013-09-16 2015-03-19 Kla-Tencor Corporation Multiple angles of incidence semiconductor metrology systems and methods
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
WO2015200315A1 (en) * 2014-06-24 2015-12-30 Kla-Tencor Corporation Rotated boundaries of stops and targets
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US11035729B1 (en) 2014-12-18 2021-06-15 J.A. Woqllam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter system with a super continuum laser source of a beam of electromagnetism, and improved detector system
US10132684B1 (en) 2014-12-18 2018-11-20 J.A. Woolam Co., Inc. Reflectometer, spectrophometer, ellipsometer and polarimeter system with a super continuum laser-source of a beam of electromagnetism and improved detector system
US11675208B1 (en) 2014-12-18 2023-06-13 J.A. Woollam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter system with a super continuum laser source of a beam of electromagnetism, and improved detector system
US10422739B1 (en) 2014-12-18 2019-09-24 J.A. Woollam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter systems with a super continuum laser source of a beam of electromagnetism, and improved detector system
US9915524B2 (en) * 2015-05-11 2018-03-13 Kla-Tencor Corporation Optical metrology with small illumination spot size
US10754260B2 (en) 2015-06-18 2020-08-25 Kla-Tencor Corporation Method and system for process control with flexible sampling
KR102659810B1 (ko) * 2015-09-11 2024-04-23 삼성디스플레이 주식회사 결정화도 측정 장치 및 그 측정 방법
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
CN108369384A (zh) 2015-12-09 2018-08-03 Asml控股股份有限公司 具有灵活性的照射器
US9921152B2 (en) * 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US10139528B1 (en) * 2016-01-20 2018-11-27 Kla-Tencor Corporation Compound objectives for imaging and scatterometry overlay
US9574992B1 (en) * 2016-01-22 2017-02-21 Kla-Tencor Corporation Single wavelength ellipsometry with improved spot size capability
JP6731490B2 (ja) 2016-03-07 2020-07-29 エーエスエムエル ネザーランズ ビー.ブイ. 照明システムおよびメトロロジシステム
US10754259B2 (en) 2016-06-30 2020-08-25 Asml Holding N.V. Method and device for pupil illumination in overlay and critical dimension sensors
US10048132B2 (en) * 2016-07-28 2018-08-14 Kla-Tencor Corporation Simultaneous capturing of overlay signals from multiple targets
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
CN109844917B (zh) 2016-10-13 2023-07-04 科磊股份有限公司 用于过程控制的计量系统及方法
US10480935B2 (en) 2016-12-02 2019-11-19 Alliance For Sustainable Energy, Llc Thickness mapping using multispectral imaging
US20180286643A1 (en) * 2017-03-29 2018-10-04 Tokyo Electron Limited Advanced optical sensor, system, and methodologies for etch processing monitoring
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
US11067389B2 (en) 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
US10978278B2 (en) 2018-07-31 2021-04-13 Tokyo Electron Limited Normal-incident in-situ process monitor sensor
JPWO2020031784A1 (ja) * 2018-08-06 2021-09-24 富士フイルム株式会社 積層体、液晶表示装置、有機電界発光装置
EP3870935A4 (en) * 2018-11-21 2022-08-31 Kla-Tencor Corporation GRAY SCATTEROMETRIC OVERLAY TARGETS FOR SINGLE CELLS AND THEIR MEASUREMENT USING DIFFERENT ILLUMINATION PARAMETERS
JP7431824B2 (ja) 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
US11480868B2 (en) * 2019-03-22 2022-10-25 International Business Machines Corporation Determination of optical roughness in EUV structures
US10921261B2 (en) 2019-05-09 2021-02-16 Kla Corporation Strontium tetraborate as optical coating material
US11162897B2 (en) 2019-05-15 2021-11-02 Onto Innovation Inc. Optical metrology device using numerical aperture reduction
US11011366B2 (en) 2019-06-06 2021-05-18 Kla Corporation Broadband ultraviolet illumination sources
US11255797B2 (en) 2019-07-09 2022-02-22 Kla Corporation Strontium tetraborate as optical glass material
US11933717B2 (en) 2019-09-27 2024-03-19 Kla Corporation Sensitive optical metrology in scanning and static modes
US11366307B2 (en) 2020-08-27 2022-06-21 Kla Corporation Programmable and reconfigurable mask with MEMS micro-mirror array for defect detection
KR102361925B1 (ko) * 2020-09-29 2022-02-11 이승훈 광학장치
CN112729133B (zh) * 2020-12-18 2023-02-24 广东省大湾区集成电路与系统应用研究院 一种基于探测光栅衍射强度测量薄膜厚度的方法及装置
US20230034635A1 (en) 2021-07-30 2023-02-02 Kla Corporation Protective coating for nonlinear optical crystal
WO2024023069A1 (en) 2022-07-26 2024-02-01 Trinamix Gmbh Method for determining a characteristic value of an optical system

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59100805A (ja) * 1982-12-01 1984-06-11 Canon Inc 物体観察装置
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
JP2582107B2 (ja) * 1988-02-09 1997-02-19 シチズン時計株式会社 レーザ光を用いた微小寸法計測装置
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
US5674652A (en) 1991-02-28 1997-10-07 University Of New Mexico Diffracted light from latent images in photoresist for exposure control
US5159412A (en) 1991-03-15 1992-10-27 Therma-Wave, Inc. Optical measurement device with enhanced sensitivity
US5309276A (en) 1991-08-29 1994-05-03 Optical Research Associates Catoptric optical system including concave and convex reflectors
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5830611A (en) 1992-03-05 1998-11-03 Bishop; Kenneth P. Use of diffracted light from latent images in photoresist for optimizing image contrast
US5412473A (en) 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5633747A (en) * 1994-12-21 1997-05-27 Tencor Instruments Variable spot-size scanning apparatus
US6512631B2 (en) 1996-07-22 2003-01-28 Kla-Tencor Corporation Broad-band deep ultraviolet/vacuum ultraviolet catadioptric imaging system
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5739909A (en) 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US6104486A (en) 1995-12-28 2000-08-15 Fujitsu Limited Fabrication process of a semiconductor device using ellipsometry
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5859424A (en) * 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US5917594A (en) 1998-04-08 1999-06-29 Kla-Tencor Corporation Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
US6690473B1 (en) * 1999-02-01 2004-02-10 Sensys Instruments Corporation Integrated surface metrology
DE19925831A1 (de) 1999-06-07 2000-12-14 Inst Halbleiterphysik Gmbh Verfahren zur Messung des Positionierungsfehlers von Strukturmustern
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6628381B1 (en) * 2000-06-20 2003-09-30 Applied Materials, Inc. Optical inspection method and apparatus utilizing a collection angle design
US20020018217A1 (en) 2000-08-11 2002-02-14 Michael Weber-Grabau Optical critical dimension metrology system integrated into semiconductor wafer process tool
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US7099005B1 (en) 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
US6721052B2 (en) * 2000-12-20 2004-04-13 Kla-Technologies Corporation Systems for measuring periodic structures
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6704661B1 (en) 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
FR2827680B1 (fr) * 2001-07-20 2003-10-10 Immervision Internat Pte Ltd Procede de capture d'une image panoramique au moyen d'un capteur d'image de forme rectangulaire
US6678046B2 (en) 2001-08-28 2004-01-13 Therma-Wave, Inc. Detector configurations for optical metrology
US7619735B2 (en) * 2002-01-15 2009-11-17 Applied Materials, Israel, Ltd. Optical inspection using variable apodization
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
IL148566A (en) 2002-03-07 2007-06-17 Nova Measuring Instr Ltd Method and system for measuring overlap accuracy
US6775015B2 (en) 2002-06-18 2004-08-10 Timbre Technologies, Inc. Optical metrology of single features
GB0216815D0 (en) 2002-07-19 2002-08-28 Aoti Operating Co Inc Detection method and apparatus
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7095504B1 (en) 2003-02-25 2006-08-22 Lockheed Martin Corporation Apodization of beams in an optical interferometer
US7145654B2 (en) * 2003-10-01 2006-12-05 Tokyo Electron Limited Method and apparatus to reduce spotsize in an optical metrology instrument
JP2006012867A (ja) * 2004-06-21 2006-01-12 Nikon Corp マーク計測方法及び装置、露光方法及び装置、並びに露光システム
WO2006008691A1 (en) * 2004-07-16 2006-01-26 Koninklijke Philips Electronics N.V. Method and apparatus for generating radially and/or azimuthally polarized light beams.
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7515253B2 (en) 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7616313B2 (en) 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
WO2008039156A1 (en) * 2006-09-25 2008-04-03 Agency For Science, Technology And Research Optical focusing system and method
US20080129986A1 (en) 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
JP2008158100A (ja) 2006-12-21 2008-07-10 Toshiba Corp パターン管理方法及びパターン管理プログラム
US7656518B2 (en) 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7920676B2 (en) 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US7746459B2 (en) * 2007-08-10 2010-06-29 Kla-Tencor Technologies Corp. Systems configured to inspect a wafer
US8040511B1 (en) * 2008-01-29 2011-10-18 Kla-Tencor Corporation Azimuth angle measurement
NL1036886A1 (nl) * 2008-05-12 2009-11-16 Asml Netherlands Bv A method of measuring a target, an inspection apparatus, a scatterometer, a lithographic apparatus and a data processor.
US8848186B2 (en) * 2009-07-22 2014-09-30 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8896832B2 (en) * 2010-06-17 2014-11-25 Kla-Tencor Corp. Discrete polarization scatterometry
US9228943B2 (en) * 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US8982358B2 (en) * 2012-01-17 2015-03-17 Kla-Tencor Corporation Apparatus and method of measuring roughness and other parameters of a structure
US9176069B2 (en) * 2012-02-10 2015-11-03 Kla-Tencor Corporation System and method for apodization in a semiconductor device inspection system
WO2013181156A1 (en) * 2012-05-29 2013-12-05 Kla-Tencor Corporation Small spot size spectroscopic ellipsometer
US9091650B2 (en) * 2012-11-27 2015-07-28 Kla-Tencor Corporation Apodization for pupil imaging scatterometry

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150090180A (ko) * 2012-11-27 2015-08-05 케이엘에이-텐코 코포레이션 동공 결상 산란율 측정을 위한 아포다이제이션

Also Published As

Publication number Publication date
CN104155313A (zh) 2014-11-19
US9080971B2 (en) 2015-07-14
CN104155313B (zh) 2017-05-10
CN102668052B (zh) 2015-07-22
JP6377218B2 (ja) 2018-08-22
KR101800471B1 (ko) 2017-11-22
US20110069312A1 (en) 2011-03-24
EP2474027A2 (en) 2012-07-11
JP5801307B2 (ja) 2015-10-28
IL218180A0 (en) 2012-06-28
US8441639B2 (en) 2013-05-14
WO2011028807A2 (en) 2011-03-10
JP6363557B2 (ja) 2018-07-25
EP2474027B1 (en) 2020-01-15
CN105021632B (zh) 2017-12-15
JP2015200661A (ja) 2015-11-12
US8873054B2 (en) 2014-10-28
CN105021632A (zh) 2015-11-04
JP2013504063A (ja) 2013-02-04
JP2017207506A (ja) 2017-11-24
WO2011028807A3 (en) 2011-06-16
EP2474027A4 (en) 2013-03-20
US20130229661A1 (en) 2013-09-05
SG178432A1 (en) 2012-04-27
US20150036142A1 (en) 2015-02-05
CN102668052A (zh) 2012-09-12

Similar Documents

Publication Publication Date Title
JP6377218B2 (ja) 計測システムおよび計測方法
JP7438424B2 (ja) 粒子検出のためのシステム及び方法
KR20180019243A (ko) 레이저 암시야 시스템에서 반점을 억제하는 방법 및 장치
KR102617173B1 (ko) 공간적으로 변화하는 편광 회전자와 편광기를 사용한 민감성 입자 검출
KR102513718B1 (ko) 공정 변동에 대한 계측 민감도를 정량화하기 위한 스케일링 메트릭
JP2023512258A (ja) 接合されたウェハのオーバレイ計測
TW202212767A (zh) 用於經埋藏計量目標之成像系統
JP2024508382A (ja) 感受性粒子を検出するための連続縮退楕円リターダ

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant