KR20090033464A - A nano imprint technique with increased flexibility with respect to alignment and feature shaping - Google Patents

A nano imprint technique with increased flexibility with respect to alignment and feature shaping Download PDF

Info

Publication number
KR20090033464A
KR20090033464A KR1020097002089A KR20097002089A KR20090033464A KR 20090033464 A KR20090033464 A KR 20090033464A KR 1020097002089 A KR1020097002089 A KR 1020097002089A KR 20097002089 A KR20097002089 A KR 20097002089A KR 20090033464 A KR20090033464 A KR 20090033464A
Authority
KR
South Korea
Prior art keywords
layer
opening
trench
substrate
imprint
Prior art date
Application number
KR1020097002089A
Other languages
Korean (ko)
Other versions
KR101336274B1 (en
Inventor
로버트 세이델
카르스텐 피터스
프량크 페우스텔
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority claimed from PCT/US2007/008371 external-priority patent/WO2008005087A2/en
Publication of KR20090033464A publication Critical patent/KR20090033464A/en
Application granted granted Critical
Publication of KR101336274B1 publication Critical patent/KR101336274B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/015Imprinting
    • B81C2201/0153Imprinting techniques not provided for in B81C2201/0152
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1021Pre-forming the dual damascene structure in a resist layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Element Separation (AREA)

Abstract

By forming metallization structures on the basis of an imprint technique, in which via openings and trenches may be commonly formed, a significant reduction of process complexity may be achieved due to the omission of at least one further alignment process as required in conventional process techniques. Furthermore, the flexibility and efficiency of imprint lithography may be increased by providing appropriately designed imprint molds in order to provide via openings and trenches exhibiting an increased fill capability, thereby also improving the performance of the finally obtained metallization structures with respect to reliability, resistance against electromigration and the like.

Description

정렬 및 피처 성형에 관해 유연도가 증가된 나노 임프린트 기술{A NANO IMPRINT TECHNIQUE WITH INCREASED FLEXIBILITY WITH RESPECT TO ALIGNMENT AND FEATURE SHAPING}Nano imprint technology with increased flexibility in alignment and feature molding {A NANO IMPRINT TECHNIQUE WITH INCREASED FLEXIBILITY WITH RESPECT TO ALIGNMENT AND FEATURE SHAPING}

본 발명은 미세구조(microstructures) 제조 분야에 관한 것으로, 특히 나노 임프린트 기술에 근거하는 미세구조 피처(features)를 정의하는 방법에 관한 것이다.TECHNICAL FIELD The present invention relates to the field of microstructures manufacturing, and more particularly, to a method of defining microstructured features based on nanoimprint technology.

집적 회로와 같은 미세구조를 제조하는 경우, 실리콘 기판과 같은 적절한 기판의 물질 층에 형성될 정밀하게 제어되는 크기를 갖는 아주 작은 영역이 필요하다. 정밀하게 제어되는 크기를 갖는 이러한 아주 작은 영역은 물질 층을 패터닝함으로써, 예를 들어 포토리소그래피 및 에칭 프로세스를 수행함으로써 형성된다. 이러한 목적을 위해, 종래 반도체 기술에서, 먼저 이러한 아주 작은 영역을 마스크 층에 정의하기 위해, 마스크 층이 물질 층 위에 형성된다. 일반적으로, 마스크 층은 포토리소그래피 프로세스와 같은 리소그래피 프로세스에 의해 패터닝되는 포토레지스트 층으로 이루어 질 수 있거나 이러한 포토레지스트 층을 이용하여 형성될 수 있다. 전형적인 포토리소그래피 프로세스 동안, 레지스트는 웨이퍼 표면 상에 스핀코팅될 수 있고, 그 다음에 자외선 방사(ultraviolet radiation)에 선택적으로 노출된다. 레지스트의 타입(포지티브 레지스트(positive resist) 혹은 네거티브 레지스트(negative resist))에 따라, 포토레지스트를 현상한 이후, 노출된 부분 혹은 비노출된 부분은 요구된 패턴을 포토레지스트 층에 형성하기 위해 제거된다. 정교하게 제작된 집적 회로에서의 패턴의 치수가 꾸준히 감소하고 있기 때문에, 디바이스 피처를 패터닝하기 위해 요구되는 장비는, 관련 제조 프로세스의 분해능(resolution) 및 오버레이 정확도(overlay accuracy)에 관하여 매우 엄격한 요건을 충족시켜야만 한다. 이러한 점에서, 분해능은 사전에 정의된 제조 편차 조건 하에서 최소 크기의 이미지를 프린트할 수 있는 일관된 능력을 특정하는 치수로서 고려된다. 분해능을 개선함에 있어 한 가지 중요한 인자는 프토리소그래피 프로세스에 의해 나타내지며, 여기서 포토 마스크 혹은 레티클(reticle)에 포함된 패턴은 선택에 따라서는 광학 이미지화 시스템을 통해 기판에 전사된다. 따라서, 개구수(numerical aperture), 초점 심도(depth of focus), 및 사용된 광소스의 파장과 같은 리소그래피 시스템의 광학적 성질을 꾸준히 개선시키기 위한 많은 노력이 있어왔다.In the manufacture of microstructures such as integrated circuits, very small areas with precisely controlled sizes to be formed in the material layers of suitable substrates, such as silicon substrates, are needed. These very small areas with precisely controlled sizes are formed by patterning the material layer, for example by performing photolithography and etching processes. For this purpose, in conventional semiconductor technology, a mask layer is formed over the material layer to first define this very small area in the mask layer. In general, the mask layer may consist of a photoresist layer patterned by a lithography process, such as a photolithography process, or may be formed using such a photoresist layer. During a typical photolithography process, the resist can be spin coated onto the wafer surface and then selectively exposed to ultraviolet radiation. Depending on the type of resist (positive resist or negative resist), after developing the photoresist, the exposed or unexposed portions are removed to form the required pattern in the photoresist layer. As the dimensions of patterns in finely crafted integrated circuits are steadily decreasing, the equipment required for patterning device features is subject to very stringent requirements regarding the resolution and overlay accuracy of the associated manufacturing process. Must be satisfied. In this regard, resolution is considered as a dimension that specifies a consistent ability to print images of minimum size under predefined manufacturing variation conditions. One important factor in improving the resolution is represented by the phytography process, wherein the pattern contained in the photomask or reticle is optionally transferred to the substrate via an optical imaging system. Thus, much effort has been made to steadily improve the optical properties of lithographic systems such as numerical aperture, depth of focus, and wavelength of light source used.

리소그래피 이미지의 품질은 매우 작은 피처 사이즈를 생성함에 있어 매우 중요하다. 그러나, 정확도가 적어도 비교가능하게 중요한데, 이러한 정확도로 이미지가 기판의 표면 상에 배치될 수 있다. 여러가지 타입의 미세구조, 예를 들어 집적 회로는 순차적으로 물질 층을 패터닝함으써 제조되는데, 여기서 연속적 물질 층 상의 피처들은 서로에 대해 잘 정의된 공간적 관계를 갖는다. 후속 물질 층에 형성된 각각의 패턴은, 특정된 레지스트레이션 허용오차(registration tolerances) 내 에서 이전에 패터닝된 물질 층에 형성되는 대응하는 패턴에 정렬되어야만 한다. 이러한 레지스트레이션 허용오차는, 예를 들어 레지스트 두께, 베이킹 온도, 노출 및 현상과 같은 파라미터에서의 비균일도로 인해 기판 상의 포토레지스트 이미지의 편차에 의해 일어난다. 더욱이, 에칭 프로세스의 비균일도로 인해 또한 에칭된 피처의 편차가 일어날 수 있다. 추가로, 이전에 형성된 물질 층의 에칭된 패턴에 현재의 물질 층에 대한 패턴의 이미지를 오버레잉하고 반면에 기판 상에 이미지를 포토리소그래피적으로 전사함에 있어 불확실성이 존재한다. 몇 개의 인자가 두 개의 층들을 완전하게 오버레잉하기 위해 이미지 시스템의 능력에 기여하는데, 예를 들어 마스크들의 세트 내에서의 불완전성, 서로다른 노출 시간에서의 온도 차, 및 정렬 툴의 제한된 레지스트레이션 성능이 있다. 결과적으로, 최종적으로 획득될 수 있는 최소 피처 사이즈를 결정하는 지배적인 기준은 개개의 기판 층에 피처를 생성하기 위한 분해능 및 전체 오버레이 에러이며, 상기 설명된 인자들 특히 리소그래피 프로세스가 이러한 전체 오버레이 에러에 기여한다.The quality of a lithographic image is very important in producing very small feature sizes. However, accuracy is at least comparably important, with which accuracy an image can be placed on the surface of the substrate. Various types of microstructures, for example integrated circuits, are fabricated by sequentially patterning material layers, wherein the features on the continuous material layers have well defined spatial relationships with each other. Each pattern formed in the subsequent material layer must be aligned with the corresponding pattern formed in the previously patterned material layer within specified registration tolerances. This registration tolerance is caused by variations in the photoresist image on the substrate due to non-uniformities in parameters such as resist thickness, baking temperature, exposure and development, for example. Moreover, the nonuniformity of the etching process may also result in variations of etched features. In addition, there is uncertainty in overlaying an image of the pattern for the current material layer on the etched pattern of the previously formed material layer, while photolithographically transferring the image onto the substrate. Several factors contribute to the image system's ability to completely overlay the two layers, such as imperfections in the set of masks, temperature differences at different exposure times, and limited registration performance of the alignment tool. There is this. As a result, the dominant criteria for determining the minimum feature size that can be finally obtained are the resolution and global overlay error for creating features in the individual substrate layers, and the factors described above, in particular the lithographic process, Contribute.

미세구조의 계속적인 스케일링을 위해서는, 요구된 분해능을 제공하기 위해 노출 파장, 빔 광학, 정렬 수단 등에 있어서 포토리소그래피 시스템을 이에 대응하게 조절할 필요가 있는데, 그러나 이것은 개발 노력의 관점에서 툴 제조자에게 큰 부담을 주는 것이면 동시에 미세구조의 제조자는 툴 투자를 증가시켜야 하고 및 상당한 소유 비용을 지불해야만 한다. 따라서, 미세구조 피처를 각각의 물질 층에 정의하고 동시에 종래의 포토리소그래피 기술과 관련된 문제점들 중 일부를 피하거나 혹은 감소시키기 위한 새로운 기술이 제안되고 있다. 한가지 유망한 접근법은 나노 임프린트 기술이며, 이것은 몰드 혹은 다이에 정의된 패턴을 적절한 마스크 층에 기계적으로 전사하는 방법이며, 이후 이것은 해당 물질 층을 패터닝하기 위해 사용될 수 있다. 예를 들어, 감소된 피처 사이즈, 낮은 기생 커패시턴스, 및 전기적 이동에 대한 높은 저항도를 갖는 금속 구조를 필요로 하는, 정교하게 제작된 반도체 디바이스들의 금속화 층의 제조 동안, 일반적으로 소위 상감 기술(inlaid technique) 또는 다마신 기술(damascene technique)이 사용된다. 집적 회로의 복잡한 회로 레이아웃을 제공하는 와이어링 층을 형성하는 이러한 기술에서, 적절한 유전체 물질이 트렌치 및 비아를 수용하기 위해 패터닝되고, 이것은 후속적으로 높은 전도성 물질, 예를 들어 구리, 구리 합금, 은, 혹은 임의의 다른 적절한 금속으로 채워진다. 따라서, 적층된 서로 다른 금속화 층들의 금속 영역들 간에 전기적 연결을 제공하는 비아는 금속 라인과 같은 금속 영역에 대하여 정밀하게 정렬되어야만 하고, 여기서 금속 라인 및 비아의 측면 치수는, 적어도 더 아래에 놓이는 금속화 층에서, 최소 임계 치수와 비교가능하고, 그럼으로써 매우 정교한 리소그래피 기술이 요구된다. 추가로, 더 높은 디바이스 층들에서의 표면 형태는 광학 패터닝 기술에 대해 완전하게 제어되어야만 할 것이고, 이것은 로우-k(low-k) 유전체 물질을 사용하기 때문에 매우 정교한 평탄화 기술을 필요로 하며, 로우-k 유전체 물질은 실리콘 다이옥사이드, 실리콘 나이트라이드 등과 같은 "종래의" 유전체 물질과 비교하여 감소된 기계적 안정성을 가질 수 있다. 따라서, 광학 패터닝 방법을 피함으로써, 각각의 트렌치 혹은 비아는 나노 임프린트 기술에 근거하여 형성될 수 있고, 여기서 레지스트 물질 혹은 임의의 다른 마스크 물질이 대응하는 다이에 의해 접촉 되며, 대응하는 다이는 금속 라인에 대한 트렌치가 형성되어야 할 때 트렌치를 형성하기 위한 각각의 라인 및 공간을 포함하는 릴리프(relief)를 구비한다. 다음 프로세스 단계에서, 마스크 층은 마스크 층으로부터 패턴을 물질 층, 예를 들어 금속화 층의 유전체 물질로 전사하기 위해 사용될 수 있다.For continuous scaling of microstructures, it is necessary to adjust the photolithography system correspondingly in terms of exposure wavelength, beam optics, alignment means, etc. to provide the required resolution, but this is a huge burden on the tool manufacturer in terms of development efforts. At the same time, manufacturers of microstructures must increase tool investments and pay significant cost of ownership. Accordingly, new techniques have been proposed to define microstructured features in each layer of material and at the same time avoid or reduce some of the problems associated with conventional photolithography techniques. One promising approach is nanoimprint technology, which is a method of mechanically transferring a pattern defined in a mold or die to a suitable mask layer, which can then be used to pattern the material layer. For example, during the fabrication of metallization layers of elaborately fabricated semiconductor devices, which require metal structures with reduced feature size, low parasitic capacitance, and high resistance to electrical movement, so-called inlay techniques ( inlaid technique or damascene technique is used. In this technique of forming a wiring layer providing a complex circuit layout of an integrated circuit, a suitable dielectric material is patterned to accommodate the trenches and vias, which are subsequently subjected to highly conductive materials such as copper, copper alloys, silver , Or any other suitable metal. Thus, the vias providing electrical connection between the metal regions of the stacked different metallization layers must be precisely aligned with respect to the metal regions, such as the metal lines, wherein the lateral dimensions of the metal lines and vias are at least below. In metallization layers, comparable to the minimum critical dimension, whereby very sophisticated lithography techniques are required. In addition, the surface morphology at higher device layers will have to be fully controlled for the optical patterning technique, which requires a very sophisticated planarization technique since it uses a low-k dielectric material. k dielectric material may have reduced mechanical stability compared to "conventional" dielectric materials such as silicon dioxide, silicon nitride, and the like. Thus, by avoiding the optical patterning method, each trench or via can be formed based on nanoimprint technology, where a resist material or any other mask material is contacted by the corresponding die, the corresponding die being a metal line It has a relief that includes each line and space for forming the trench when a trench for the trench is to be formed. In the next process step, a mask layer can be used to transfer the pattern from the mask layer to the dielectric material of the material layer, for example the metallization layer.

나노 임프린트 기술을 사용함으로써, 포토리소그래피와 관련된 많은 문제점들을 피할 수 있지만, 임프린트 프로세스에 의해 정의된 트렌치는 이전에 형성된 비아에 정밀하게 정렬되어야 하고, 이로인해 임프린트 프로세스 기술은 또한 매우 엄격한 제약을 가지게 된다. 다른 경우에 있어, 나노 임프린트 기술은 층간 유전체 물질에 직접적으로 형성될 때 개구의 성형에 관한 유연도가 감소되는데, 왜냐하면, 예를 들어 종래 포토리소그래피 기술에서의 효율적인 제어 방법으로서 사용되는 테이퍼 형상(tapered shape)을 얻기 위해 노출 및/또는 에칭 파라미터를 조절하는 것은 이제 더 이상 가용하지 않을 수 있기 때문이다.By using nanoimprint technology, many problems associated with photolithography can be avoided, but the trench defined by the imprint process must be precisely aligned with the previously formed vias, thereby imprint process technology also has very strict constraints. . In other cases, nanoimprint techniques have reduced flexibility in shaping the opening when formed directly in the interlayer dielectric material, because, for example, tapered shapes are used as an efficient control method in conventional photolithography techniques. Adjusting exposure and / or etching parameters to obtain a shape may no longer be available.

본 발명은 앞서 언급된 문제들 중 일부 혹은 모두를 해결할 수 있는 혹은 적어도 감소시킬 수 있는 다양한 방법들에 관한 것이다.The present invention is directed to various methods that can solve or at least reduce some or all of the aforementioned problems.

다음은 본 발명의 일부 실시형태의 기본적인 이해를 제공하기 위한 본 발명의 간략화된 개요를 제공한다. 이러한 개요가 본 발명 전체를 개관하는 것이 아니다. 이것을 통해 본 발명의 핵심적 혹은 중요한 요소를 확인하려 하거나 본 발명의 범위를 한정하려는 것이 아니다. 그 유일한 목적은 이후 개시되는 본 발명의 상세한 설명에 대한 서두로서 간략한 형태로 일부 개념을 제공하려는 것이다.The following provides a simplified overview of the invention to provide a basic understanding of some embodiments of the invention. This summary is not an overview of the invention. This is not intended to identify key or critical elements of the invention or to limit the scope of the invention. Its sole purpose is to present some concepts in a simplified form as a prelude to the detailed description of the invention that is presented later.

일반적으로, 본 명세서에서 설명되는 것은, 기계적 상호작용이 특정 물질 층 내에 전도성 라인, 비아 등과 같은 각각의 피처를 형성 또는 제공하기 위해 사용되는 기술을 사용하여, 반도체 디바이스와 같은 미세구조의 피처를 형성하는 기술에 관한 것이다. 이러한 것을 위해, 유연성의 정도가 일부 실시형태에서, 비아 개구 및 트렌치가 공통 임프린트 프로세스에서 정의될 수 있다는 점에서, 예를 들어 반도체 디바이스의 금속화 층을 형성하기 위해 필요한 프로세스 단계의 개수를 크게 감소시킴으로써 강화될 수 있다.In general, what is described herein forms a microstructured feature, such as a semiconductor device, using techniques in which mechanical interaction is used to form or provide respective features, such as conductive lines, vias, and the like, within a particular material layer. It is about technology. For this purpose, the degree of flexibility is, in some embodiments, greatly reduced the number of process steps required to form the metallization layer of the semiconductor device, for example in that via openings and trenches can be defined in a common imprint process. Can be reinforced.

다른 실시예들에서, 트랜치, 비아 등의 측벽 구성은, 비수직 측벽 부분을 얻기 위해 대응하여 설계된 임프린트 몰드 혹은 다이를 토대(basis)로 하여 효과적으로 조절될 수 있는데, 왜냐하면 금속화 층 등에 피처를 위한 트렌치 및 개구와 같은 복수의 특정 디바이스 피처가 이용될 수 있기 때문이다. 결과적으로, 임프린트 기술의 프로세스 복잡도를 감소시킴으로써 그리고/또는 각각의 피처를 성형함에 있어 강화된 유연도를 제공함으로써, 각각의 미세구조 디바이스의 전체 성능은, 프로세스 복잡도의 감소로 강화될 수 있는데, 왜냐하면 예를 들어, 임의의 임계 정렬 동작이 감소될 수 있고 그리고/또는 일정 회로 피처의 프로세스 성능이 강화될 수 있기 때문인데, 예를 들어 정교한 반도체 디바이스의 금속화 구조가 고려되는 경우 개선된 채움 동작을 얻을 수 있기 때문이다.In other embodiments, sidewall configurations of trenches, vias, etc., can be effectively adjusted based on a correspondingly designed imprint mold or die to obtain a non-vertical sidewall portion, for metallization layers or the like. This is because a plurality of specific device features such as trenches and openings may be used. As a result, by reducing the process complexity of the imprint technology and / or providing enhanced flexibility in shaping each feature, the overall performance of each microstructured device can be enhanced with a reduction in process complexity, because For example, any critical alignment operation may be reduced and / or the process performance of certain circuit features may be enhanced, for example when improved metallization structures of sophisticated semiconductor devices are considered. Because you can get.

본 명세서에서 개시되는 하나의 예시적 실시예에 따르면, 기판 위에 형성되는 변형가능 물질 층에 비아 개구 및 트렌치를 공통적으로 임프린트하는 것을 포함하는 방법이 제공되며, 여기서 비아 개구 및 트렌치는 미세구조 디바이스의 금속화 구조의 피처에 대응한다. 더욱이, 상기 방법은 비아 개구 및 트렌치를 토대로 하여 비아 및 전도성 라인을 형성하는 것을 포함한다.According to one exemplary embodiment disclosed herein, a method is provided that includes commonly imprinting via openings and trenches in a layer of deformable material formed over a substrate, wherein the via openings and trenches are formed of a microstructure device. Corresponds to the features of the metallized structure. Moreover, the method includes forming vias and conductive lines based on via openings and trenches.

본 명세서에서 개시되는 또 다른 예시적 실시예에 따르면, 기판 위에 형성되는 변형가능 물질 층에 개구를 임프린트하는 것을 포함하고, 여기서 개구는 미세구조 디바이스의 피처에 대응하고 아울러 개구의 하부에 대해 비수직 배향을 갖는 측벽 부분을 갖는다. 더욱이, 상기 방법은 개구를 토대로 하여 피처를 형성하는 것을 포함하고, 여기서 상기 피처는 피처의 하부에 대해 비수직인 측벽 부분을 갖는다.According to another exemplary embodiment disclosed herein, the method includes imprinting an opening in a layer of deformable material formed over a substrate, wherein the opening corresponds to a feature of the microstructured device and is non-vertical to the bottom of the opening. Has a sidewall portion with an orientation. Moreover, the method includes forming a feature based on the opening, wherein the feature has a sidewall portion that is non-perpendicular to the bottom of the feature.

본 명세서에서 개시되는 또 다른 예시적인 실시예에 따르면, 반도체 디바이스에 대한 금속화 층을 형성하는 것과, 그리고 금속화 층을 복수의 회로 소자들이 형성된 기판에 기계적으로 전사하는 것을 포함하는 방법이 제공된다.According to yet another exemplary embodiment disclosed herein, a method is provided that includes forming a metallization layer for a semiconductor device and mechanically transferring the metallization layer to a substrate on which a plurality of circuit elements are formed. .

본 명세서의 개시 내용은 첨부되는 도면과 함께 다음의 상세한 설명을 참조하여 이해될 수 있고, 도면에서 동일한 참조 번호는 동일한 구성요소를 나타낸다.The disclosure of the present specification may be understood with reference to the following detailed description in conjunction with the accompanying drawings, wherein like reference numerals refer to like elements.

도 1a 내지 도 1e는 본 명세서에서 개시되는 예시적인 실시예들에 따른, 층간 유전체 물질에 각각의 개구를 직접적으로 형성하기 위한 공통 임프린트 프로세스에서의 비아/라인 금속화 구조의 형성 동안 미세구조의 단면도를 도식적으로 나타낸 것이다.1A-1E are cross-sectional views of a microstructure during formation of via / line metallization structures in a common imprint process for directly forming respective openings in an interlayer dielectric material, in accordance with exemplary embodiments disclosed herein. It is shown schematically.

도 2a 내지 도 2d는 다른 예시적 실시예에 따른 후속적인 에칭 프로세스를 갖는 공통 임프린트 프로세스에 근거하여 비아/라인 금속화 구조를 제조하는 동안의 미세구조 디바이스의 단면도를 도식적으로 나타낸 것이다.2A-2D schematically illustrate cross-sectional views of a microstructured device during fabrication of a via / line metallization structure based on a common imprint process with a subsequent etching process in accordance with another exemplary embodiment.

도 3a 내지 도 3e는 또 다른 예시적 실시예에 따른 유전체 물질의 후속적 제거를 갖는 공통 임프린트 프로세스에 근거하여 비아/라인 구조를 형성하기 위한 다양한 제조 단계 동안의 단면도를 도식적으로 나타낸 것이다.3A-3E schematically illustrate cross-sectional views during various fabrication steps for forming via / line structures based on a common imprint process with subsequent removal of dielectric material in accordance with yet another exemplary embodiment.

도 4a 내지 도 4c는 또 다른 예시적 실시예에 따른 임프린트 몰드 혹은 다이, 즉 비아/라인 구조의 네거티브 형태를 형성하기 위한 프로세스 흐름을 도식적으로 나타낸 것이다.4A-4C diagrammatically illustrate a process flow for forming a negative form of an imprint mold or die, ie, via / line structures, according to another exemplary embodiment.

도 5는 본 명세서에서 개시되는 다른 예시적인 실시예에 따른, 하나 또는 그 이상의 금속화 구조를 복수의 회로 소자들을 포함하는 기판에 기계적으로 전사하는 도면을 도식적으로 나타낸 것이다.FIG. 5 schematically illustrates a diagram for mechanically transferring one or more metallization structures to a substrate including a plurality of circuit elements, according to another exemplary embodiment disclosed herein.

도 6a 내지 도 6c는 본 명세서에서 개시되는 예시적 실시예에 따른, 반도체 디바이스에 대한 금속화 피처의 각각의 네거티브 형태의 비수직인 측벽 구성을 구비한 복수의 임프린트 몰드 혹은 다이의 단면도를 도식적으로 나타낸 것이다.6A-6C diagrammatically illustrate cross-sectional views of a plurality of imprint molds or dies having non-vertical sidewall configurations of each negative type of metallization features for semiconductor devices, in accordance with example embodiments disclosed herein. It is shown.

도 7a 내지 도 7b는 또 다른 실시예에 따른 테이퍼 형상의 임프린트 다이 혹은 몰드를 토대로 하는 분리 트렌치의 형성 동안의 반도체 디바이스의 단면도를 도식적으로 나타낸 것이다.7A-7B schematically illustrate cross-sectional views of a semiconductor device during formation of a isolation trench based on a tapered shaped imprint die or mold according to another embodiment.

도 8a 내지 도 8d는 본 명세서에서 개시되는 다른 예시적 실시예에 따른 임프린트 기술에 의해 획득된 수정된 측벽 구성을 갖는, 게이트 전극과 같은 전도성 라인을 형성하기 위한 다양한 제조 단계 동안의 반도체 디바이스의 단면도를 도식적으로 나타낸 것이다.8A-8D are cross-sectional views of semiconductor devices during various fabrication steps for forming conductive lines, such as gate electrodes, having modified sidewall configurations obtained by imprint techniques in accordance with another exemplary embodiment disclosed herein. It is shown schematically.

본 명세서에서 개시되는 것들에 대한 다양한 변형 및 대안적 형태가 가능하며, 그 특정 실시예들이 도면에서 예시적 목적으로 도시되고, 그리고 본 명세서에서 상세히 설명된다. 그러나, 이해할 것으로, 이러한 특정 실시예들에 관한 본 명세서의 설명을 통해 본 발명을 이러한 개시되는 특정 형태에 한정시키려는 것이 아니며, 이와는 반대로, 첨부되는 특허청구범위에 의해 정의되는 바와 같은 본 발명의 사상 및 범위 내에 있는 모든 변형물, 등가물, 및 대안물을 포괄하려는 것이다.Various modifications and alternative forms to those disclosed herein are possible, and specific embodiments thereof are shown in the drawings for illustrative purposes and described in detail herein. It is to be understood, however, that the description herein of these specific embodiments is not intended to limit the invention to these specific forms disclosed, and on the contrary, the spirit of the invention as defined by the appended claims. And all variations, equivalents, and alternatives falling within the scope.

본 발명의 다양한 예시적 실시예들이 아래에 설명된다. 명확한 설명을 위해 실제로 구현할 때의 모든 특징이 본 명세서에서 설명되지는 않는다. 당연히 이해되어야 하는 것으로, 임의의 이러한 실제 실시예의 개발에 있어서, 개발자의 특정 목적, 예를 들어, 구현에 따라 다양하게 변할 수 있는 시스템 관련 제약 및 비즈니스 관련 제약과의 호환성을 달성하기 위해, 구현시 필요한 다양한 특정 결정이 행해져야만 한다. 더욱이, 이해해야하는 것으로, 이러한 개발 노력은 복잡하고 시간 소모적이지만, 그럼에도 불구하고 본 개시 내용에 의해 혜택을 받는 본 발명의 기술분야에서 통상의 기술을 가진 자들에게는 통상의 작업이다.Various exemplary embodiments of the invention are described below. For clarity, not all features of an actual implementation are described in this specification. It should be understood, of course, that in the development of any such practical embodiment, in order to achieve compatibility with system-specific and business-related constraints that may vary from developer to particular purpose, eg implementation. Various specific decisions as needed have to be made. Moreover, as should be understood, such development efforts are complex and time consuming, but are routine tasks for those of ordinary skill in the art that nevertheless benefit from the present disclosure.

본 발명에 관해 이제 첨부되는 도면을 참조하여 설명된다. 다양한 구조, 시스템 및 디바이스가 단지 설명의 목적으로, 그리고 본 발명의 기술분야에서 숙련된 자들에게 공지된 세부사항으로 본 개시내용을 모호하게 하지 않도록 하기 위해, 도면에서 도식적으로 설명된다. 그럼에도 불구하고, 첨부되는 도면은 본 개시 내용의 도식적 예들을 기술하고 설명하기 위해 포함되어 있다. 본 명세서에서 사용된 용어 및 어구는 관련 기술분야에서 숙련된 자들이 이해하는 그러한 용어 및 어구와 일치 하는 의미를 가진 것으로 이해되고 해석되어야 한다. 용어 혹은 문구의 그 어떠한 특별한 의미, 즉, 본 발명의 기술분야에서 숙련된 기술을 가진 자들이 이해하는 통상적이고 일반적 의미와는 다른 정의는 본 명세서에서 사용되는 용어 혹은 문구의 일관된 사용을 통해 암시되어 있지 않다. 임의의 용어 혹은 문구가 특별한 의미를 갖는 경우, 즉, 숙련된 자들이 이해하는 것과는 다른 의미를 갖는 경우, 그러한 특별한 정의는 그 용어 혹은 문구에 대한 특별한 정의를 직접적으로 모호하지 않도록 제공하는 정의의 형식으로 본 명세서에서 명확하게 설명된다.The present invention is now described with reference to the accompanying drawings. Various structures, systems and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the present disclosure with details known to those skilled in the art. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present disclosure. The terms and phrases used herein should be understood and interpreted to have a meaning consistent with those terms and phrases understood by those skilled in the art. Any special meaning of a term or phrase, that is, a definition different from the usual and general meaning understood by those skilled in the art, is implied through the consistent use of the term or phrase as used herein. Not. If any term or phrase has a special meaning, that is, if it has a meaning different from what a skilled person would understand, such a special definition is a form of definition that provides no direct ambiguity to that particular definition of the term or phrase. As is clearly described herein.

일반적으로, 본 명세서에서 설명되는 것은 반도체 디바이스 등과 같은 미세구조의 피처를 형성하는 기술에 관한 것으로, 여기서 포토리소그래피 단계들 중 적어도 일부가 임프린트 기술로 대체되고, 이러한 임프린트 기술에서 피처 혹은 적어도 피처를 형성하기 위한 미스크 층이, 변형가능 물질 및 대응하는 임프린트 몰드 또는 나노 다이 또는 스탬프 간에 직접적인 기계적 콘택에 의해 형성되고, 일부 실시형태에 있어서, 두 개의 서로다른 타입의 피처가 공통 임프린트 프로세스에서 형설될 수 있어 요구된 정렬 프로세스의 수가 감소될 수 있고, 그리고 증착 단계, 평탄화 단계 등과 같은 개별적 프로세스 단계의 수가 감소될 수 있다. 또 다른 실시형태에서, 각각의 피처의 성형은 각각의 임프린트 몰드를 적절하게 설계함으로써 달성될 수 있어 각각의 피처의 성능이 강화될 수 있고, 그리고/또는 각각의 패터닝 프로세스의 성능이 강화될 수 있다. 예를 들어, 일부 예시적 실시예에서, 테이퍼 형상의 비아 혹은 트렌치가 대응하여 설계된 임프린트 다이 혹은 몰드를 토대로 하여 형성될 수 있고, 그래서 금속, 금속 합금 등과 같은 전도성 물질을 신뢰가능하 게 채우기 위한 대응하는 증착 프로세스의 채움 동작이 크게 강화될 수 있다. 결과적으로, 전체 프로세스 효율성 및 생산 비용은 감소될 수 있는 데, 왜냐하면 많은 제조 단계에서, 고비용의 광범위하고 복잡한 포토리소그래피 단계를 피할 수 있거나, 혹은 각각의 포토리소그래피 프로세스가 적절한 임프린트 몰드를 형성하기 위해 사용될 수 있기 때문이며, 그럼으로써 각각의 포토리소그래피 프로세스의 효율성이 크게 높아질 수 있기 때문이고, 이것은 단일 포토리소그래피 프로세스를 통해, 복수의 기판을 처리하기 위해 또한 사용될 수 있는 대응하는 임프린트 몰드 혹은 다이가 생성될 수 있기 때문이다.Generally, what is described herein relates to a technique for forming a microstructured feature, such as a semiconductor device, wherein at least some of the photolithography steps are replaced with an imprint technique, in which the feature or at least a feature is formed. A mimic layer for forming is formed by direct mechanical contact between the deformable material and the corresponding imprint mold or nano die or stamp, and in some embodiments, two different types of features may be formed in a common imprint process. The number of alignment processes required can thus be reduced, and the number of individual process steps such as deposition, planarization, and the like can be reduced. In another embodiment, shaping of each feature can be accomplished by appropriately designing each imprint mold so that the performance of each feature can be enhanced and / or the performance of each patterning process can be enhanced. . For example, in some example embodiments, tapered shaped vias or trenches may be formed based on correspondingly designed imprint dies or molds, so that correspondingly reliably fill conductive materials such as metals, metal alloys, and the like. The filling operation of the deposition process can be greatly enhanced. As a result, overall process efficiency and production costs can be reduced, because in many manufacturing steps, expensive and extensive and complex photolithography steps can be avoided, or each photolithography process can be used to form an appropriate imprint mold. This is because the efficiency of each photolithography process can be greatly increased, which means that, through a single photolithography process, a corresponding imprint mold or die can also be created that can also be used to process multiple substrates. Because there is.

도 1a는 미세구조 디바이스(100)를 도식적으로 나타낸 것이고, 일부 예시적 실시예들에서, 이것은, 트랜지스터, 커패시터, 저항기 등과 같은 각각의 회로 소자를 전기적으로 연결하기 위해, 금속화 구조를 수용할 수 있는 반도체 디바이스를 나타낼 수 있다. 다른 경우에 있어서, 미세구조 디바이스(100)는 광전자 소자 및/또는 기계적 소자 등이 형성된 디바이스를 나타낼 수 있다. 미세구조 디바이스(100)는 기판(101)을 포함할 수 있고, 이러한 기판(101)은, 실리콘-온-절연체(Silicon-On-Insulator, SOI) 아키텍처가 고려되는 경우, 매장된 절연층(미도시)을 포함할 수 있는 실리콘 기반의 반도체 기판과 같은 임의의 적절한 기판을 나타낼 수 있고, 이 경우 적절한 반도체 층이 각각의 절연층 상에 형성된다. 다른 경우에 있어서, 기판(101)은 각각의 컴포넌트들의 제조를 가능하게 하는 적절한 물질 층이 형성된 임의의 적절한 캐리어 물질을 나타낼 수 있으며, 각각의 컴포넌트들 중 적어도 일부는 각각의 회로 소자들 간의 전기적 상호연결을 제공하기 위해 대응 하는 금속화 구조를 요구할 수 있다. 또 다른 경우에, 기판(101)은 임의의 적절한 캐리어 물질을 나타낼 수 있고, 이러한 기판 위에는 이후 더 상세하게 설명되는 바와 같이 이후의 단계에서 각각의 반도체 디바이스에 전사될 수 있는 금속화 구조가 형성될 수 있다. 일부 예시적 실시예들에서, 기판(101)에는 각각의 콘택 영역(102)을 포함하는 복수의 피처가 형성되고, 이것은 고전도성 반도체 영역, 금속 영역, 등의 형태로 제공될 수 있다. 더욱이, 변형가능 물질 층(103)이 기판(101) 위에 형성될 수 있고, 여기서 도 1a에 예시된 실시예에서, 층(103)은 금속화 구조의 피처들을 형성하기 위한 적절한 유전체 물질을 나타낼 수 있다.1A schematically illustrates a microstructure device 100, and in some exemplary embodiments, it may house a metallization structure to electrically connect respective circuit elements such as transistors, capacitors, resistors, and the like. Which can represent a semiconductor device. In other cases, microstructure device 100 may represent a device on which optoelectronic devices and / or mechanical devices and the like are formed. Microstructure device 100 may include substrate 101, which, when silicon-on-insulator (SOI) architecture is contemplated, is buried in an insulating layer (not microscopic). Any suitable substrate, such as a silicon-based semiconductor substrate, which may include a), in which case an appropriate semiconductor layer is formed on each insulating layer. In other cases, substrate 101 may represent any suitable carrier material having a suitable layer of material formed thereon that enables the manufacture of each of the components, at least some of each of which components being electrically interconnected between the respective circuit elements. Corresponding metallization structures may be required to provide a connection. In another case, the substrate 101 may represent any suitable carrier material, on which a metallization structure can be formed that can be transferred to each semiconductor device in subsequent steps, as described in more detail below. Can be. In some example embodiments, a plurality of features are formed in the substrate 101 including respective contact regions 102, which may be provided in the form of highly conductive semiconductor regions, metal regions, and the like. Moreover, a deformable material layer 103 can be formed over the substrate 101, where in the embodiment illustrated in FIG. 1A, the layer 103 can represent a suitable dielectric material for forming the features of the metallized structure. have.

예를 들어, 일부 예시적 실시예에서, 층(103)의 변형가능 물질은 3.0 및 이보다 상당히 작은 비유전율을 가진 유전체로 구성될 수 있고, 이것은 전형적으로 로우-k 유전체 혹은 울트라 로우-k 유전체로 언급되기도 한다. 이해해야만 하는 것으로, 용어 "변형가능"은, 임프린트 몰드 혹은 다이, 즉 물질 층(103)에 형성될 개구의 네거티브 형태와의 기계적 콘택을 가능하게 하는 물질 특성을 말하는 것이고, 그 결과 변형가능 물질은 변형될 수 있고 후속적으로 각각의 임프린트 다이가 제거될 수 있으며, 여기서, 변형가능 물질(103)은 임프린트 몰드의 제거 이후에 변형된 형상을 실질적으로 유지할 수 있다. 예를 들어, 광범위한 종류의 열가소성 물질이 사용가능하며, 이것은 열이 인가되는 경우 점성 상태가 낮아지고 이렇게 낮은 점성 상태에서 각각의 물질(103)이 변형될 수 있으며, 여기서 열가소성 물질이 냉가 된 후, 각각의 변형된 형상은 변형 임프린트 다이의 제거 이후에도 유지될 수 있다. 다른 경우에 있어서, 폴리머 물질, 레지스트 물질 등과 같은 각각의 물질은 낮은 점성 상태에서 제공될 수 있고, 그리고 각각의 임프린트 몰드와의 콘택 이후, 변형된 형상이 유지되도록 예를 들어 UV 방사, 열 처리 등에 근거하여 경화될 수 있다.For example, in some exemplary embodiments, the deformable material of layer 103 may consist of a dielectric having a dielectric constant of 3.0 and significantly less, which is typically a low-k dielectric or an ultra low-k dielectric. It is also mentioned. As should be understood, the term “deformable” refers to a material property that enables mechanical contact with the negative shape of an imprint mold or die, ie an opening to be formed in material layer 103, so that the deformable material is It can be deformed and subsequently each imprint die can be removed, where the deformable material 103 can substantially maintain the deformed shape after removal of the imprint mold. For example, a wide variety of thermoplastics are available, which may be low in viscosity when heat is applied and each material 103 may deform in this low viscosity, where after the thermoplastic has cooled, Each deformed shape may be maintained even after removal of the deformed imprint die. In other cases, each material, such as a polymeric material, a resist material, or the like, may be provided in a low viscosity state, and, for example, after UV contact, heat treatment, etc., to maintain the deformed shape after contact with each imprint mold. Can be cured on the basis of.

도 1a에 도시된 실시예에서, 실리콘, 실리콘 다이옥사이드, 금속, 금속 합금, 어떤 가소성 물질 등과 같은 임의의 적절한 물질로 만들어진 기판(151)을 포함할 수 있는 각각의 임프린트 몰드 혹은 다이(150)와의 콘택 이전의 미세구조 디바이스(100)가 도시되어 있다. 더욱이, 임프린트 몰드(150)는 물질 층(103)에 형성될 각각의 복잡한 개구들의 복수의 네거티브 형태(152)를 포함할 수 있다. 도시된 실시예에서, 네거티브 형태(152)는 비아 부분(152A) 및 트렌치 부분(152B)을 포함할 수 있고, 이것은 유전체 층(103)에 형성될 금속화 구조의 각각의 비아 및 금속 라인에 대응할 수 있다. 예를 들어, 정교하게 제작된 미세구조 디바이스, 예를 들어 디바이스(100)에서, 해당 금속화 구조의 레벨 및 디바이스(100)의 임의의 회로 소자에 대한 최소 임계 치수에 따라, 대략 100 nm 내지 수 ㎛의 폭을 갖는 각각의 금속 라인 혹은 다른 전도성 라인이 형성될 수 있다.In the embodiment shown in FIG. 1A, contact with each imprint mold or die 150 may include a substrate 151 made of any suitable material, such as silicon, silicon dioxide, metal, metal alloy, any plastic material, and the like. Previous microstructure device 100 is shown. Moreover, the imprint mold 150 can include a plurality of negative shapes 152 of each complex opening to be formed in the material layer 103. In the illustrated embodiment, negative form 152 may include via portion 152A and trench portion 152B, which will correspond to respective vias and metal lines of the metallization structure to be formed in dielectric layer 103. Can be. For example, in elaborately fabricated microstructured devices, such as device 100, depending on the level of the metallization structure in question and the minimum critical dimension for any circuit elements of device 100, the order may be approximately 100 nm to several. Each metal line or other conductive line having a width of μm may be formed.

이전에 설명된 바와 같이, 금속화 구조의 각각의 피처는 전형적으로 포토리소그래피 및 대응하는 에칭 프로세스에 근거하여 전형적으로 형성되고, 여기서 고복잡도의 정렬 엔터티들을 포함하는 고복잡도의 리소그래피 툴들이 필요하다. 비아 및 이에 연결되는 금속 라인을 형성하기 위한 각각의 제조 시퀀스 동안, 고려되는 프로세스 전략에 상관없이, 트렌치 및 비아는 서로에 대해 정렬되어야만 하고, 이것은 최종적으로 각각의 설계 규칙에 의해 고려되어야만 하는 각각의 정렬 에러를 일으킬 수 있다. 대응하는 비아 개구의 각각의 네거티브 형태(152A) 및 각각의 트 렌치를 나타내는 네거티브 형태(152B)를 포함하는 임프린트 몰드(150)를 사용함으로써, 비아 및 금속 라인은 자동적으로 정밀도 높게 서로에 대해 정렬되고, 그럼으로써 프로세스 복잡도가 감소되고, 디바이스 성능이 증가하며, 비아 개구와 트렌치 간의 일정 정도의 오정렬을 고려하여 일반적으로 제공되어야만 하는 프로세스 마진을 감소시킬 수 있다.As previously described, each feature of the metallization structure is typically formed based on photolithography and the corresponding etching process, where high complexity lithography tools are needed that include high complexity alignment entities. During each fabrication sequence to form the vias and the metal lines connected thereto, regardless of the process strategy considered, the trenches and vias must be aligned with respect to each other, which in turn must be considered by each design rule. Can cause alignment errors. By using the imprint mold 150 comprising each negative shape 152A of the corresponding via opening and negative shape 152B representing each twirl, the via and metal lines are automatically aligned with respect to each other with high precision. This reduces process complexity, increases device performance, and reduces process margins that must normally be provided to account for some degree of misalignment between via openings and trenches.

미세구조 디바이스(100)를 형성하기 위한 전형적인 프로세스 흐름은 다음의 프로세스들을 포함할 수 있다. 포토리소그래피 프로세스 혹은 다른 임프린트 프로세스, 이후에 설명되는 바와 같이, 주입 프로세스, 에칭 기술, 평탄화 프로세스 등을 포함할 수 있는 잘 확립된 기술에 근거하여, 만약 제공되는 경우, 전도성 영역(102) 혹은 임의의 다른 회로 소자들과 같은 임의의 미세구조 피처의 형성 이후, 층(103)의 변형가능 물질이 임의의 적절한 증착 기술에 근거하여 형성될 수 있다. 예를 들어, 층(103)이 스핀-온 기술에 의해 점성도가 낮은 상태로 도포될 수 있고, 그리고 낮은 점성 상태에서 유지될 수 있는데, 이 경우 이것은 특정 폴리머 물질, 변형가능 레지스트 물질 등과 같은 경화가능 물질이다. 다른 예시적 실시예에서, 층(103)은 임의의 적절한 증착 기술에 의해 형성될 수 있고, 그리고 열 가소성 물질이 사용될 때, 예를 들어 층(103)을 열처리함으로써 높은 변형가능 상태로 천이하도록 적절하게 처리될 수 있다. 다음으로, 임프린트 몰드(150)가 예를 들어 각각의 기계적 정렬 마크(미도시), 광학 정렬 마크 등을 사용하여 잘 확립된 정렬 툴에 근거하여 미세구조(100)에 대해 배치되고 정렬된다. 임프린트 몰드(150) 및 미세구조(100)를 서로에 대해 적절하게 배치시킨 이후에, 임프린트 몰드(150) 및/또는 미 세구조 디바이스(100)가 화살표(153)에 의해 표시된 바와 같이 서로 상대적으로 이동되며 반면에 그들의 측면 위치는 실질적으로 유지된다.A typical process flow for forming microstructure device 100 may include the following processes. Based on well-established techniques, which may include a photolithography process or other imprint process, as described later, an implantation process, an etching technique, a planarization process, etc., if provided, the conductive region 102 or any After formation of any microstructured feature, such as other circuit elements, the deformable material of layer 103 may be formed based on any suitable deposition technique. For example, layer 103 may be applied at a low viscosity state by spin-on technology, and may be maintained at a low viscosity state, in which case it is curable, such as certain polymeric materials, deformable resist materials, and the like. It is a substance. In another exemplary embodiment, the layer 103 can be formed by any suitable deposition technique, and when a thermoplastic material is used, it is appropriate to transition to a high deformable state, for example by heat treating the layer 103. Can be processed. Next, the imprint mold 150 is placed and aligned with respect to the microstructure 100 based on well-established alignment tools using, for example, respective mechanical alignment marks (not shown), optical alignment marks, and the like. After properly placing the imprint mold 150 and the microstructure 100 relative to each other, the imprint mold 150 and / or the microstructure device 100 are relative to each other as indicated by arrow 153. While their lateral position is substantially maintained.

도 1b는 임프린트 몰드(150)와 콘택할 때의 미세구조 디바이스(100)를 도식적으로 나타낸 것이고, 여기서 각각의 네거티브 형태(152)는 비아 개구 및 트렌치를 정의하기 위해 변형가능 물질 층(103)을 변형시킨다. 이후에, 층(103)의 물질이 실질적으로 변형가능하지 않은 상태, 즉 요구된 고충실도로 임프린트 몰드(150)의 제거 이후 물질 층(103)이 그 형태를 실질적으로 유지할 수 있는 상태가 되도록 하기 위해, UV(Ultra Violet) 방사 등과 같은 적절한 처리에 의해, 예를 들어 그 온도를 감소시킴으로써, 층(103)을 경화시킴으로써 층(103)이 처리될 수 있다.1B schematically illustrates the microstructure device 100 when in contact with the imprint mold 150, where each negative shape 152 defines a layer of deformable material 103 to define via openings and trenches. Transform. Afterwards, the material of layer 103 is in a substantially non-deformable state, i.e., after removal of imprint mold 150 with the required high fidelity, the material layer 103 is in a state capable of substantially maintaining its shape. For example, the layer 103 can be processed by curing the layer 103 by appropriate treatment such as Ultra Violet (UV) radiation or the like, for example by reducing its temperature.

도 1c는 화살표(154)에 의해 표시된 바와 같이, 임프린트 몰드(150)가 제거될 때의 미세구조 디바이스(100)를 도식적으로 나타낸 것이고, 임프린트 몰드(150)가 제거됨으로써, 물질(103)의 실질적 비변형가능 상태로 인해, 비아 개구(104A) 및 트렌치(104B)를 포함하는 각각의 임프린트된 구조(104)가 남게되고, 그 각각은 크기 및 형상에 있어 임프린트 몰드(150)의 각각의 네거티브 형태(152A, 152B)에 실질적으로 대응한다. 이해해야만 하는 것으로, 임프린트 몰드(150)는 층(103)의 물질에 대해 실질적 비변형가능 상태에서 낮은 접착력을 가질 수 있고, 이것은 나노 임프린트 프로세스를 위한 잘 확립된 기술을 사용함으로써 각각의 표면 처리 혹은 물질 성분에 근거하여 달성될 수 있다. 더욱이, 비아 개구(104A) 및 트렌치(104B)를 층(103)에 공통적으로 임프린트하는 동안, 층(103)에서의 물질의 높이 레벨은 각각의 네거티브 형태(152)의 추가적인 부피로 인해 변할 수 있으며, 여기 서 높이 레벨의 각각의 증가는, 기판(101) 전체에 걸쳐 각각의 네거티브 형태(152)의 패턴 밀도에 따라, 국소적으로 변할 수 있다. 예를 들어, 물질(103)에 임프린트 몰드(150)를 배치시키는 최종 단계에서 유체 소통을 실질적으로 억제하는 네거티브 형태(152B)의 패턴 구성으로 인해, 기판(101) 전체에 걸쳐 층(103)의 각각의 부분들 간의 유체 소통이 제공될 수 없을 때, 몰드(150)는 서로 다른 디바이스 부분들 간에 효율적인 소통을 제공할 수 있는 혹은 층(103)의 과다 물질을 제거할 수 있는 각각의 유체 채널들(미도시)을 가질 수 있다. 결과적으로, 실질적 비변형가능 상태에서 층(103)으로부터 임프린트 몰드(150)를 제거할 때, 실질적으로 평평한 표면 구성이 획득될 수 있고, 여기서, 층(103)의 물질이 비변형가능 상태로 되기 이전에 층(103)의 과다 물질이 제거되는지 여부에 따라, 층(103)의 두께는 본래 증착된 층(103)의 두께와 다를 수 있다. 더욱이, 각각의 물질 잔류물(104C)이 미세구조(100) 및/또는 임프린트 몰드(150)의 표면 형태에 있어서의 작은 비균일도로 인해 각각의 비아 개구(104A)의 하부에 여전히 존재할 수 있고, 그럼으로써 결과적으로 전도성 영역(102)과 같은 아래에 놓인 구조와의 완전하지 못한 기계적 콘택이 일어날 수 있다.1C schematically depicts the microstructure device 100 when the imprint mold 150 is removed, as indicated by arrow 154, wherein the imprint mold 150 is removed, thereby substantially removing the material 103. Due to the non-deformable state, each imprinted structure 104 including via opening 104A and trench 104B is left, each of which is a negative form of each of the imprint mold 150 in size and shape. Substantially corresponds to 152A, 152B. It should be understood that the imprint mold 150 may have low adhesion in a substantially undeformable state to the material of the layer 103, which may be achieved by using a well-established technique for the nanoimprint process to achieve individual surface treatment or It can be achieved based on the substance component. Moreover, during the common imprint of via opening 104A and trench 104B to layer 103, the height level of the material in layer 103 may vary due to the additional volume of each negative form 152. Here, each increase in height level may vary locally, depending on the pattern density of each negative form 152 throughout the substrate 101. For example, due to the pattern configuration of the negative form 152B that substantially suppresses fluid communication in the final step of placing the imprint mold 150 in the material 103, the layer 103 may be formed throughout the substrate 101. When fluid communication between each of the parts cannot be provided, the mold 150 may provide respective fluid channels that can provide efficient communication between different device parts or that can remove excess material in the layer 103. (Not shown). As a result, when removing the imprint mold 150 from the layer 103 in a substantially non-deformable state, a substantially flat surface configuration can be obtained, where the material of the layer 103 becomes non-deformable. Depending on whether the excess material of layer 103 has been removed previously, the thickness of layer 103 may be different from the thickness of layer 103 originally deposited. Moreover, each material residue 104C may still be present at the bottom of each via opening 104A due to a small non-uniformity in the surface morphology of microstructure 100 and / or imprint mold 150, As a result, incomplete mechanical contact with underlying structures such as conductive region 102 may occur.

도 1d는 더 진행된 제조 단계에서의 미세구조 디바이스(100)를 도식적으로 나타낸 것으로, 여기서 구조(100)는 물질 잔류물(104C)을 제거하기 위해 에칭 분위기(105)에 놓여진다. 에칭 프로세스(105) 동안, 잘 확립된 방법이 잔류물(104C)을 효율적으로 제거하기 위해 사용될 수 있으며, 여기서 일부 예시적 실시예의 경우, 전도성 영역(102)의 물질에 관해 프로세스(105)의 에칭 화학의 일정 선택도가 제공 될 수 있다. 이러한 방식에서, 에칭 프로세스(105)의 프로세스 시간은, 밑에 놓인 영역(102)에 부적절한 손상을 실질적으로 일으키지 않고 전체 기판(101)에 걸쳐 잔류물(104C)을 신뢰가능하게 제거하도록 제어될 수 있다. 더욱이, 에칭 프로세스(105)로 인해, 층(103)의 물질은 비아 개구(104A)의 바깥쪽으로 제거될 수 있고, 그러나 여기서 트렌치(104B)의 각각의 깊이는, 트렌치(104B) 및 층(103)의 수평 표면 부분(103S)에서의 동시발생적 물질 제거로 인해, 실질적으로 동일한 상태로 남아 있을 수 있고, 반면에, 층(103)의 전체 두께는, 프로세스(105) 동안의 과에칭의 정도에 따라 감소될 수 있다. 이후, 미세구조 디바이스(100)는 미세구조(100)의 각각의 금속화 구조를 형성하기 위해 각각의 비아 및 금속 라인을 제공하도록 금속, 금속 합금 등과 같은 전도성 물질로 각각의 구조(104)를 채울 준비가 갖추어질 수 있다.1D schematically illustrates the microstructure device 100 in a further manufacturing step, where the structure 100 is placed in an etch atmosphere 105 to remove material residue 104C. During the etching process 105, a well-established method can be used to efficiently remove the residue 104C, where for some exemplary embodiments, the etching of the process 105 with respect to the material of the conductive region 102. A constant selectivity of chemistry can be provided. In this manner, the process time of the etching process 105 can be controlled to reliably remove the residue 104C across the entire substrate 101 without substantially causing inadequate damage to the underlying region 102. . Moreover, due to the etching process 105, the material of the layer 103 can be removed out of the via opening 104A, but where each depth of the trench 104B is a trench 104B and a layer 103. Due to the simultaneous material removal at the horizontal surface portion 103S of Eq.), It may remain substantially the same, while the overall thickness of the layer 103 is dependent on the degree of overetching during the process 105. Can be reduced accordingly. The microstructure device 100 will then fill each structure 104 with a conductive material such as metal, metal alloy, etc. to provide respective vias and metal lines to form respective metallized structures of the microstructure 100. You can be prepared.

도 1e는 더 진행된 제조 단계에서의 미세구조 디바이스(100)를 도식적으로 나타낸 것이고, 여기서 각각의 비아(106A)는 이전에 형성된 비아 개구(104A) 내에 제공되어 밑에 놓인 전도성 영역(102)에 연결된다. 더욱이, 전도성 라인(106B)이 이전에 정의된 트렌치(104B) 내에 형성된다. 결과적으로, 임의의 적절한 유전체 물질을 나타내는 물질 층(103)이 전도성 라인(106B) 및 비아(106A)와 함께 각각의 금속화 층(107)을 정의할 수 있고, 여기서 각각의 전도성 라인(106B)이 내부 레벨의 전기적 연결을 제공하고, 반면에 비아(106A)는 전도성 영역(102)으로의 전기적 콘택을 제공하며, 이것은 콘택 플러그, 회로 소자의 콘택 영역, 하부에 있는 금속화 층의 금속 영역 등을 나타낼 수 있다. 이해해야만 하는 것으로, 해당 미세구 조(100)의 기술 양식에 따라, 측면 치수, 즉 도 1e에서 비아(106A) 및 전도성 라인(106B)의 수평 확장부는, 많이 개선된 반도체 디바이스에 대해 100 nm 및 이보다 훨씬 더 작을 수 있고, 여기서 각각의 치수는 디바이스 레벨에 따라 변할 수 있고, 그리고 미세구조 디바이스(100)의 동작 동안 일어나는 각각의 현재 밀도에 따라 변할 수 있다. 더욱이, 이해해야만 하는 것으로 각각의 비아 및/또는 전도성 라인(106B)의 특정 형상은 설계 요건에 따라 변할 수 있다. 예를 들어, 각각의 전도성 라인(106B)의 폭 및/또는 깊이는 동일 디바이스 레벨에서 변할 수 있고, 그럼으로써 동작 조건, 증착 기술의 프로세스 조건 등에 대해 각각의 금속화 구조를 개조함에 있어 높은 유연도가 제공될 수 있다. 동일한 것이 비아(106A)에 대해 유효하다. 더욱이, 비아(106A) 및 전도성 라인(106B)이 임의의 적절한 전도성 물질에 근거하여 형성될 수 있고, 여기서 정교한 애플리케이션에 있어서, 구리, 구리 합금, 은, 은 합금 등과 같은 전도성 높은 금속이 고성능 금속화 구조를 제공하기 위해 사용될 수 있다. 각각의 비아 개구(104A) 및 트렌치(104B)에 채워질 전도성 물질의 특성에 따라, 전도성 물질은 또한 전도성 장벽 층을 포함할 수 있어 층(103)의 주변 유전체 물질로의 그리고 최종적으로 민감한 디바이스 영역으로의 금속의 확산이 실질적으로 방지될 수 있고, 그리고 유전체 물질 혹은 그 안에 함유된 산소, 플루오르 등과 같은 반응성 성분들의, 구리, 구리 합금 등과 같은 각각의 전도성 물질과의 사이에서 일어나는 원치않은 상호작용이 실질적으로 억제될 수 있다.FIG. 1E schematically illustrates the microstructure device 100 at a further stage of manufacture, where each via 106A is provided in a previously formed via opening 104A and connected to an underlying conductive region 102. . Furthermore, conductive line 106B is formed in trench 104B previously defined. As a result, a material layer 103 representing any suitable dielectric material may define each metallization layer 107 along with conductive lines 106B and vias 106A, where each conductive line 106B This inner level provides electrical connection, while via 106A provides electrical contact to conductive region 102, which may include contact plugs, contact regions of circuit elements, metal regions of underlying metallization layers, and the like. Can be represented. It should be understood that, depending on the technical style of the microstructure 100 in question, the lateral dimension, i.e., the horizontal extension of the vias 106A and the conductive lines 106B in FIG. It may be much smaller than this, where each dimension may vary with device level, and with each current density that occurs during operation of microstructure device 100. Moreover, as should be understood, the specific shape of each via and / or conductive line 106B may vary depending on design requirements. For example, the width and / or depth of each conductive line 106B may vary at the same device level, thereby providing high flexibility in adapting each metallization structure to operating conditions, process conditions of deposition techniques, and the like. May be provided. The same is valid for via 106A. Moreover, vias 106A and conductive lines 106B may be formed based on any suitable conductive material, wherein in sophisticated applications, highly conductive metals such as copper, copper alloys, silver, silver alloys, etc., have high performance metallization. It can be used to provide a structure. Depending on the nature of the conductive material to be filled in each via opening 104A and trench 104B, the conductive material may also include a conductive barrier layer to the peripheral dielectric material of layer 103 and finally to the sensitive device region. Diffusion of metals can be substantially prevented, and unwanted interactions between the dielectric material or reactive components such as oxygen, fluorine, etc. contained therein, with each conductive material such as copper, copper alloy, etc. Can be suppressed.

도 1e에 도시된 미세구조(100)가 다음의 프로세스에 근거하여 형성될 수 있다. 에칭 프로세스(105)(도 1d) 이후, 일부 예시적 실시예들에서, 각각의 전도성 장벽 물질(미도시)이 임의의 적절한 증착 기술, 예를 들어 스퍼터 증착, 화학적 기상 증착(Chemical Vapor Deposition, CVD), 무전해 도금, 원자층 증착(Atomic Layer Deposition, ALD) 등에 근거하여 증착될 수 있다. 예를 들어, 탄탈륨, 탄탈륨 나이트라이드, 티타늄, 티타늄 나이트라이드, 텅스텐, 텅스텐 나이트라이드 등과 같은 적적한 물질이 스퍼터 증착에 의해 증착될 수 있고, 여기서 에칭 프로세스(105)로서 혹은 추가적인 에칭 단계로서 수행될 수 있는 이전의 스퍼터 에칭 단계로 인해 결과적으로 밑에 놓인 전도성 영역(102)이 신뢰가능하게 노출될 수 있다. 이후, 구리 등과 같은 적절한 시드 물질이 예를 들어 스퍼터 증착, 무전해 증착 등에 의해 증착될 수 잇고, 이후 구리, 구리 합금, 은, 은 합금 등과 같은 벌크 금속의 증착이 수행될 수 있다. 다음으로, 장벽 물질, 시드 물질 및 실제 벌크 금속과 같은 임의의 과다 물질이 임의의 적절한 기술에 근거하여 제거될 수 있고, 이러한 적절한 기술로는 전기기계적 에칭, 화학적 기계적 연마(Chemical Mechanical Polishing, CMP) 등이 포함될 수 있다. 일부 예시적 실시예에서, 과다 물질의 각각이 제거 동안, CMP 프로세스가 수행될 수 있고, 그럼으로써 미세구조 디바이스(100)의 표면 형태가 또한 평탄화되고, 이것은 가능하게는 비아 개구(104A) 및 트렌치(104B)를 형성하기 위한 공통 임프린트 프로세스 동안 생성될 수 있다(도 1c).The microstructure 100 shown in FIG. 1E can be formed based on the following process. After the etching process 105 (FIG. 1D), in some exemplary embodiments, each conductive barrier material (not shown) may be applied to any suitable deposition technique, such as sputter deposition, chemical vapor deposition, CVD. ), Electroless plating, atomic layer deposition (ALD), and the like. For example, suitable materials such as tantalum, tantalum nitride, titanium, titanium nitride, tungsten, tungsten nitride, and the like may be deposited by sputter deposition, where it may be performed as an etching process 105 or as an additional etching step. Previous sputter etching steps that result can reliably expose the underlying conductive region 102. Thereafter, a suitable seed material such as copper may be deposited by, for example, sputter deposition, electroless deposition, or the like, followed by deposition of bulk metal such as copper, copper alloy, silver, silver alloy, or the like. Next, any excess material, such as barrier material, seed material and actual bulk metal, can be removed based on any suitable technique, such suitable techniques include electromechanical etching, chemical mechanical polishing (CMP) Etc. may be included. In some exemplary embodiments, while each of the excess material is removed, a CMP process may be performed, whereby the surface morphology of the microstructured device 100 is also flattened, possibly via vias 104A and trenches. It can be generated during the common imprint process to form 104B (FIG. 1C).

결과적으로, 임의의 적절한 크기 및 형상을 가질 수 있는 비아(106A) 및 전도성 라인(106B)을 포함하는 금속화 층(107)은 프로세스 복잡도가 감소된 효율성 높은 프로세스 시퀀스로 쉽게 형성될 수 있는데, 왜냐하면 비아(106A) 및 금속 라 인(106B)은 각각의 컴포넌트에 대한 개개의 정렬을 요구하지 않으면서 공통 리소그래피 프로세스에 근거하여 형성될 수 있기 때문이다. 더욱이, 비아 및 라인(106A, 106B)의 특정 크기 및 형상 그리고 특히 그 중간 부분은, 종래의 많은 패터닝 방법에서의 경우와 같이, 포토리소그래피 및 에칭 기술에 의해 제한됨이 없이 디바이스 요건에 따라 설계될 수 있다. 예를 들어, 비아(106A) 및/또는 트렌치(106B)의 측벽은, 이후 더 상세히 설명되는 바와 같이 프로세스 및 디바이스 요건에 맞게 쉽게 개조될 수 있는데, 이 경우 포토리소그래피 및 에칭 프로세스와 같은 프로세스 기술에서의 특정 프로세스 파라미터에 실질적으로 제한되지 않는다. 더욱이, 도 1a 내지 도 1e에 관해 도시된 실시예에서, 비아(106A) 및 라인(106B)은 금속화 층(107)의 유전체 물질에, 즉 변형가능 물질 층(103)에 직접적으로 형성될 수 있고, 그럼으로써 프로세스 복잡도가 또한 감소된다.As a result, metallization layer 107 including vias 106A and conductive lines 106B, which may have any suitable size and shape, can be easily formed into highly efficient process sequences with reduced process complexity, because This is because vias 106A and metal lines 106B can be formed based on a common lithography process without requiring individual alignment for each component. Moreover, the specific size and shape of the vias and lines 106A, 106B, and in particular the middle portions thereof, can be designed according to device requirements without being limited by photolithography and etching techniques, as in many conventional patterning methods. have. For example, the sidewalls of vias 106A and / or trench 106B can be easily adapted to process and device requirements, as described in greater detail below, in which case process techniques such as photolithography and etching processes It is not substantially limited to the specific process parameters of. Furthermore, in the embodiment shown with respect to FIGS. 1A-1E, vias 106A and line 106B may be formed directly in the dielectric material of metallization layer 107, ie in deformable material layer 103. And thereby the process complexity is also reduced.

도 2a 내지 도 2d를 참조하면, 본 명세서에서 개시되는 것의 또 다른 예시적 실시예가 더 상세히 설명되며, 여기서 금속화 층의 유전체 물질에 대해 높은 유연도가 획득되고, 그래서 비변형가능 물질이 효과적으로 사용될 수 있으며, 비아 및 트렌치가 여전히 공통 임프린트 기술에 의해 형성될 수 있다.With reference to FIGS. 2A-2D, another exemplary embodiment of what is disclosed herein is described in more detail, where high flexibility with respect to the dielectric material of the metallization layer is obtained, so that non-deformable materials can be effectively used. And vias and trenches may still be formed by common imprint techniques.

도 2a는 기판(201)을 포함하는 미세구조 디바이스(200)를 도식적으로 나타낸 것으로, 기판에는 전도성 영역(202)이 형성될 수 있고, 이러한 도전성 영역으로의 전기적 연결이 기판(201) 위에 형성될 하나 또는 그 이상의 금속화 층에 의해 제공된다. 컴포넌트(201 및 202)에 관하여, 동일하 기준이 미세구조(100)에 관하여 이전에 설명된 것과 동일한 기준이 적용된다. 더욱이, 이러한 제조 단계에서, 유전체 층(207)이 기판(201)이 제공될 수 있고, 여기서 유전체 층(207)의 물질은, 그 특성에 있어서, 금속화 층에 대한 층간 유전체 물질로서 선택될 수 있다. 예를 들어, 정교한 애플리케이션에 있어서, 유전체 층(207)은 로우-k 유전체 물질을 포함할 수 있다. 더욱이, 마스크 층(203)이 유전체 층(207) 위에 형성될 수 있고, 이것은 변형가능 물질, 즉 임프린트 몰드(250)에 의해 기계적으로 콘택될 때 높은 변형가능 상태를 가질 수 있는 물질로 구성될 수 있으며, 이러한 물질은 높은 비변형가능 상태에 놓여질 수 있어 임프린트 몰드(250)와의 콘택에 의해 일어나는 각각의 변형도가 유지될 수 있다. 예를 들어, 마스크 층(203)은 변형가능 레지스트 물질, 열가소성 물질 등을 포함할 수 있다. 임프린트 몰드 혹은 다이(250)는 각각의 기판(251)을 포함할 수 있으며, 각각의 기판(251) 상에는 각각의 비아 개구에 대한 네거티브 형태(252A) 및 트렌치에 대응하는 그 대응 네거티브 형태(252B)를 포함하는 각각의 네거티브 형태(252)가 형성된다. 임프린트 몰드(250)에 관하여, 몰드(150)을 참조하여 이전에 설명된 것과 동일한 기준이 적용된다.2A schematically illustrates a microstructure device 200 that includes a substrate 201, in which a conductive region 202 may be formed, and electrical connections to such conductive region may be formed over the substrate 201. Provided by one or more metallization layers. With respect to components 201 and 202, the same criteria apply as those previously described with respect to microstructure 100. Moreover, in this manufacturing step, a dielectric layer 207 can be provided with a substrate 201, where the material of the dielectric layer 207 can be selected as an interlayer dielectric material for the metallization layer, in its properties. have. For example, in sophisticated applications, dielectric layer 207 may comprise a low-k dielectric material. Moreover, mask layer 203 may be formed over dielectric layer 207, which may be composed of a deformable material, that is, a material that may have a high deformable state when mechanically contacted by imprint mold 250. In addition, such materials may be placed in a high undeformable state such that each degree of deformation caused by contact with the imprint mold 250 may be maintained. For example, the mask layer 203 may include a deformable resist material, thermoplastic material, and the like. Imprint mold or die 250 may include a respective substrate 251, on each substrate 251 a negative shape 252A for each via opening and its corresponding negative shape 252B corresponding to the trench. Each negative form 252 is formed that includes a. With regard to the imprint mold 250, the same criteria as previously described with reference to the mold 150 apply.

도 2a에 도시된 바와 같은 제조 단계 동안, 임프린트 몰드(250)는, 디바이스(100) 및 몰드(150)에 관하여 앞서 설명된 바와 유사하게, 미세구조 디바이스(200)에 대하여 정렬되고, 그리고 몰드(250)는 화살표(253)에 의해 표시된 바와 같이 마스크 층(203)과 콘택하게 되며, 여기서 마스크 층(203)은 낮은 점성 상태 혹은 높은 변형가능 상태에 있다.During the manufacturing step as shown in FIG. 2A, the imprint mold 250 is aligned with respect to the microstructure device 200, and similarly described above with respect to the device 100 and the mold 150, and the mold ( 250 is in contact with mask layer 203 as indicated by arrow 253, where mask layer 203 is in a low viscous state or a high deformable state.

도 2b는 임프린트 몰드(250)가 마스크 층(203)과 콘택할 때의 상황을 도식적으로 나타낸 것으로, 여기서 열처리 및/또는 UV 방사와 같은 각각의 처리가 수행될 수 있어, 마스크 층(203)의 물질은 높은 비변형가능 상태에 있을 수 있을 수 있다.2B schematically illustrates the situation when the imprint mold 250 is in contact with the mask layer 203, where each treatment, such as heat treatment and / or UV radiation, may be performed to The material may be in a high undeformable state.

도 2c는 화살표(254)로 표시된 바와 같이 임프린트 몰드의 제거를 도식적으로 나타낸 것이고, 그럼으로써 결과적으로 마스크 층(203)의 실질적 비변형가능 상태로 인해 각각의 비아 개구(204A) 및 트렌치(204B)가 생성된다. 표면 접착력 등에 있어서 임프린트 몰드(250)의 특성에 관해, 임프린트 몰드(150)를 참조하여 앞서 설명된 바와 동일한 기준이 적용된다. 따라서, 임프린트 몰드(250)의 제거 이후, 패터닝된 마스크 층(203)은 비아 개구(204A) 및 트렌치(204B)를 밑에 놓인 유전체 층(207)에 전사하기 위한 후속 이방성 에칭 프로세스 동안 이미지 혹은 마스크로서 사용될 수 있다.2C schematically illustrates the removal of the imprint mold as indicated by arrow 254, thereby resulting in respective via openings 204A and trench 204B due to the substantially undeformable state of mask layer 203. Is generated. Regarding the characteristics of the imprint mold 250 in the surface adhesion, etc., the same criteria as described above with reference to the imprint mold 150 apply. Thus, after removal of the imprint mold 250, the patterned mask layer 203 serves as an image or mask during the subsequent anisotropic etching process for transferring the via openings 204A and trench 204B to the underlying dielectric layer 207. Can be used.

도 2d는 이방성 에칭 프로세스(205) 동안 미세구조 디바이스(200)를 도식적으로 나타낸 것으로, 여기서 마스크 층(203)의 물질 및 밑에 놓인 층(207)의 유전체 물질에 대한 동등한 에칭 속도가 일어나는 에칭 화학물질이 사용될 수 있다. 결과적으로, 높은 이방성 에칭 동작이 확립될 수 있는데, 왜냐하면, 층(203 및 207)의 물질들 간의 뚜렷한 에칭 선택도가 필요하지 않기 때문이다. 따라서, 에칭 프로세스(205) 동안, 마스크 층(203)의 물질은 유전체 층(207)의 노출된 부분의 물질을 따라 점진적으로 제거된다. 이러한 방식에서, 마스크 층(203)의 비아(204A) 및 트렌치(204B)가 유전체 층(206) 안으로 점진적으로 "밀려 들어가" 유전체 층(207)에 각각의 비아 개구(207A) 및 트렌치(207B)가 최종적으로 획득되는데, 여기서 높은 에칭 충실도가 프로세스(205)의 높은 이방성 동작으로 인해 달성될 수 있다. 따라서, 에칭 프로세스(205)의 최종 단계에서, 유전체 층(207)은 203R로 표시된 바와 같이 마스크 층(203)의 잔류물로 덮일 수 있고, 반면에, 에칭 프로세스(205)는 비아 개구(207A)의 하부에서 각각의 전도성 영역(202)을 신뢰가능하게 노출시키기 위해 계속될 수 있으며, 여기서 잔류물(203R)은 에칭 프로세스(205)에 의해 소비될 수 있다. 일부 예시적 실시예에서, 잔류물(203R)은 에칭 프로세스(205)의 최종 단계 동안 남아 있을 수 있고, 그리고 후속적으로 추가적 에칭 프로세스, 예를 들어 잔류물(203R)의 물질과 유전체 층(207) 간에 높은 선택도를 갖는 습식 화학적 프로세스 혹은 건식 화학적 프로세스가 잔류물(203R)을 제거하기 위해 수행될 수 있고, 그럼으로써 강화된 프로세스 유연도가 제공될 수 있는데, 왜냐하면 마스크 층(203)의 초기 두께가 덜 임계적이기 때문이다.2D schematically illustrates the microstructured device 200 during the anisotropic etching process 205, where etch chemistry that results in an equivalent etch rate for the material of the mask layer 203 and the dielectric material of the underlying layer 207. This can be used. As a result, a high anisotropic etch operation can be established because no distinct etch selectivity between the materials of layers 203 and 207 is needed. Thus, during the etching process 205, the material of the mask layer 203 is gradually removed along the material of the exposed portion of the dielectric layer 207. In this manner, the vias 204A and trenches 204B of the mask layer 203 gradually “push” into the dielectric layer 206, with respective via openings 207A and trenches 207B in the dielectric layer 207. Is finally obtained, where high etch fidelity may be achieved due to the high anisotropic operation of process 205. Thus, in the final step of the etching process 205, the dielectric layer 207 may be covered with a residue of the mask layer 203 as indicated by 203R, while the etching process 205 may be via opening 207A. Continue to reliably expose each conductive region 202 at the bottom of the residue, where residue 203R may be consumed by the etching process 205. In some demonstrative embodiments, residue 203R may remain during the final stage of etching process 205, and subsequently further etching process, eg, material and dielectric layer 207 of residue 203R. A wet chemical process or a dry chemical process with high selectivity between) may be performed to remove residue 203R, thereby providing enhanced process flexibility, since the initial stage of mask layer 203 may be provided. This is because the thickness is less critical.

에칭 프로세스(205) 혹은 후속적인 추가적 에칭 단계에 의한 잔류물(203R)의 제거 이후, 미세구조 디바이스(200)에 대한 후속 프로세싱이 디바이스(100)에 대한 도 1e에 관해 이전에 설명된 바와 유사한 방식으로 수행될 수 있다. 즉, 임의의 적절한 프로세스 시퀀스가 수행될 수 있어, 장벽 물질과 같은 적절한 전도성 물질, 및 전도성 높은 금속이 채워질 수 있고, 그래서 유전체 층(107)과 공통적으로 각각의 금속화 층을 정의하도록 각각의 비아 및 전도성 라인이 제공될 수 있다. 결과적으로, 각각의 금속화 구조는 효율성 높은 임프린트 프로세스에 근거하여 형성될 수 있고, 여기서 각각의 비아 개구 및 트렌치가 공통 프로세스 단계로 형성될 수 있으며, 반면에 추가적으로 금속화 층에 대한 적절한 유전체 물질을 선택함에 있어 높은 유연도가 제공된다.After removal of the residue 203R by the etching process 205 or subsequent additional etching steps, subsequent processing to the microstructured device 200 may be performed in a similar manner as previously described with respect to FIG. 1E for the device 100. It can be performed as. That is, any suitable process sequence can be performed such that a suitable conductive material, such as a barrier material, and a highly conductive metal can be filled, so that each via to define each metallization layer in common with the dielectric layer 107. And conductive lines may be provided. As a result, each metallization structure can be formed based on a highly efficient imprint process, where each via opening and trench can be formed in a common process step, while additionally providing a suitable dielectric material for the metallization layer. High flexibility is provided in the selection.

도 3a 내지 도 3d에 관하여, 또 다른 예시적 실시예가 이제 설명되며, 여기 서 금속화 구조가 효율적인 임프린트 기술에 근거하여 형성될 수 있고, 여기서 각각의 비아 개구 및 트렌치를 정의하고 아울러 금속화 구조를 형성하기 위해 희생 층이 사용될 수 있다.With reference to FIGS. 3A-3D, yet another exemplary embodiment is now described, wherein a metallization structure can be formed based on efficient imprint techniques, where each via opening and trench are defined and the metallization structure is defined. A sacrificial layer can be used to form.

도 3a는 기판(301) 및 기판(301) 위에 형성된 변형가능 물질 층(303)을 포함하는 미세구조 디바이스(300)의 단면도를 도식적으로 나타낸 것이다. 더욱이, 비아 개구(352A) 및 트렌치(352B)에 대한 네거티브 형태를 포함하는 임프린트 몰드(350)가, 층(303)으로부터 제거되는 동안, 도시되어 있는데, 이것은 각각의 비아 개구(304A) 및 트렌치(304B)를 정의하기 위해 높은 비변형가능 상태에 있다. 임프린트 몰드(350)의 특성에 관하여, 앞서 설명된 각각의 컴포넌트들(150 및 250)을 참조한다. 더욱이, 미세구조(300)는 디바이스(100 및 200)를 참조하여 앞서 설명된 바와 같은 미세구조 디바이스를 나타낼 수 있거나 혹은 그 안에 하나 또는 그 이상의 금속화 구조를 형성하기 위한 베이스 컴포넌트를 나타낼 수 있다. 따라서, 기판(301)은 기판 상에 변형가능 물질 층(303)을 형성하기 위한 임의의 적절한 캐리어 물질을 나타낼 수 있고, 그리고 일부 예시적 실시예들에서, 기판에는 각각의 회로 소자 및 전도성 영역(미도시)이 형성되고, 반면에, 다른 실시예에서, 실질적으로 다른 어떠한 기능적 컴포넌트도 기판(301)에 제공되지 않을 수 있다. 변형가능 물질 층(303)이 임의의 적절한 물질의 형태로 제공될 수 있고, 그 유전체 특성은 본질적인 것이 아닐 수 있는데, 왜냐하면 층(303)은 그 안에 각각의 비아 및 금속 라인들이 형성된 이후에 제거될 수 있는 희생 층으로서 사용될 수 있기 때문이다.3A schematically illustrates a cross-sectional view of a microstructure device 300 that includes a substrate 301 and a layer of deformable material 303 formed over the substrate 301. Moreover, an imprint mold 350, including the negative shape for the via opening 352A and the trench 352B, is shown while being removed from the layer 303, which shows the respective via opening 304A and trench ( Is in a high undeformable state to define 304B). With regard to the properties of the imprint mold 350, reference is made to the respective components 150 and 250 described above. Moreover, microstructure 300 may represent a microstructured device as described above with reference to devices 100 and 200 or may represent a base component for forming one or more metallized structures therein. Thus, the substrate 301 may represent any suitable carrier material for forming the deformable material layer 303 on the substrate, and in some exemplary embodiments, the substrate may have respective circuit elements and conductive regions ( (Not shown), on the other hand, in other embodiments, substantially no other functional components may be provided to the substrate 301. Deformable material layer 303 may be provided in the form of any suitable material, and its dielectric properties may not be essential, because layer 303 may be removed after respective via and metal lines are formed therein. Because it can be used as a sacrificial layer.

도 3b는 더 진행된 제조 단계에서의 미세구조(300)를 도식적으로 나타낸 것 이다. 각각의 비아(306A) 및 전도성 라인(306B)이 희생 층(303) 내에 형성되고, 여기서 임의의 적절한 전도성 물질이 비아(306A) 및 라인(306B)을 형성하기 위해 사용될 수 있다. 하나의 예시적 실시예에서, 구리, 구리 합금, 은, 은 합금 등과 같은 전도성 높은 적절한 금속이 각각의 비아 개구(304A) 및 트렌치(304B)(도 3a)에 채워질 수 있고, 여기서 각각의 장벽 물질을 형성하기 위한 이전 단계는 필요하지 않는데, 왜냐하면 대응하는 장벽 특성은 이후의 단계에서 제공될 수 있기 때문이다. 일부 예시적 실시예에서, 기판(301)의 표면 부분은 팔라듐, 백금, 구리 등과 같은 임의의 적절한 촉매 물질을 포함할 수 있고, 이것은 비아 개구(304A) 및 트렌치(304B)의 형성 동안 노출될 수 있다. 따라서, 예를 들어 구리 및 구리 합금에 근거하여 효율이 높은 무전해 도금 기술이 사용될 수 있고, 그럼으로써, 하부 내지 상부에서의 높은 종횡비의 개구를 신뢰가능하게 채우기 위한 종래의 전기도금 방식에서 전형적으로 일어날 수 있는 채움 동작에 관한 임의의 제약이 크게 완화될 수 있다. 결과적으로, 공통 임프린트 프로세스에서의 각각의 비아 개구(304A) 및 트렌치(304B)의 매우 효율적인 정의와 결합하여, 채움 동작 및 장벽 증착에 관하여 프로세스 복잡도 및 프로세스 수행에 있어 추가적 감소가 획득될 수 있다.3B schematically illustrates the microstructure 300 at a further stage of manufacture. Respective vias 306A and conductive lines 306B are formed in sacrificial layer 303, where any suitable conductive material may be used to form vias 306A and lines 306B. In one exemplary embodiment, a suitable conductive high metal such as copper, copper alloy, silver, silver alloy, etc. may be filled in each via opening 304A and trench 304B (FIG. 3A), where each barrier material No previous step is needed to form a C, since the corresponding barrier property can be provided in a later step. In some exemplary embodiments, the surface portion of the substrate 301 may include any suitable catalytic material, such as palladium, platinum, copper, and the like, which may be exposed during the formation of the via openings 304A and trenches 304B. have. Thus, high efficiency electroless plating techniques can be used, for example based on copper and copper alloys, thereby typically in conventional electroplating schemes for reliably filling high aspect ratio openings from bottom to top. Any constraints on the filling behavior that may occur can be greatly relaxed. As a result, in combination with the highly efficient definition of each via opening 304A and trench 304B in a common imprint process, further reductions in process complexity and process performance can be obtained with regard to fill operation and barrier deposition.

도 3c는 금속화 구조(306)에 대해 선택적으로 희생 층(303)을 제거하기 위한 선택적 등방성 에칭 프로세스(308) 동안 미세구조 디바이스(300)를 도식적으로 나타낸 것이다. 이러한 목적을 위해, 높은 선택성 에칭 방법이 사용될 수 있고, 여기서 적절한 물질을 선택함에 있어 높은 유연도가 제공되는데, 왜냐하면 층(303)은, 그 유전체 특성에 상관없이, 공통 임프린트 프로세스 동안 단지 요구된 특성에 관 하여 제공받기 때문이다.3C schematically illustrates the microstructure device 300 during a selective isotropic etching process 308 to selectively remove the sacrificial layer 303 for the metallization structure 306. For this purpose, a high selective etching method can be used, where a high degree of flexibility is provided in selecting the appropriate material, because layer 303, regardless of its dielectric properties, has only required properties during the common imprint process. Because it is provided about.

도 3d는 더 진행된 제조 단계에서의 미세구조 디바이스(300)를 도식적으로 나타낸 것이다. 여기서, 디바이스(300)는 금속화 구조(306)의 노출된 표면 부분 상에 각각의 장벽 층(310)을 형성하기 위한 처리(309)에 놓인다. 앞서 설명된 바와 같이, 구리, 구리 합금 등과 같은 복수의 전도성 높은 금속에 대해, 주변 유전체 물질과의 임의의 상호작용을 억제하기 위해 신뢰가능한 금속 밀봉이 요구된다. 더욱이, 매우 정교한 집적 회로에서 전형적으로 일어날 수 있는 보통의 높은 전류 밀도로 인해, 전기적 이동 효과가 전체 신뢰도 및 각각의 금속화 구조의 수명에 관해 주도적 역할을 할 수 있다. 전기적 이동 현상은 확산 경로의 존재와 크게 상관되어 있기 때문에, 임의의 인터페이스 영역은 전기적 이동에 관해 특히 크게 임계적일 수 있고, 따라서 전체 전기적 이동 동작은 장벽 물질과의 각각의 인터페이스의 품질에 따라 크게 달라질 수 있다. 결과적으로, 주변 유전체 물질의 존재와 상관없이 장벽 층(310)이 제공되기 때문에, 무전해 도금과 같은 매우 효율적인 제조 기술이 사용될 수 있고, 그럼으로써 금속화 구조(306)의 신뢰성 있고 균일한 밀봉이 제공되며, 동시에 코발트/텅스텐/붕소, 코발트/텅스텐/인 등과 같은 추가적으로 효과가 높은 장벽 물질이 형성될 수 있는데, 이것은 구리 물질과 결합시 전기적 이동 현상에 대해 높은 저항성을 나타내는 것으로 알려져 있다. 결과적으로, 금속화 구조(306)의 표면 부분을 대응하여 노출시킴으로써, 각각의 물질이 자기 정렬 방식으로 증착될 수 있고, 그럼으로써 균일도 높게 장벽 층(310)이 형성될 수 있다. 결과적으로, 각각의 금속화 구조(306)의 전체 성능이 크게 증가될 수 있고, 동시에 그 럼에도 불구하고, 각각의 비아 개구(304A) 및 트렌치(304B)의 공통 패터닝으로 인해 프로세스 복잡도는 감소될 수 있고 정밀도는 증가될 수 있다.3D schematically illustrates the microstructure device 300 at a further stage of manufacture. Here, device 300 is placed in a process 309 to form each barrier layer 310 on an exposed surface portion of metallization structure 306. As described above, for a plurality of highly conductive metals, such as copper, copper alloys, and the like, a reliable metal seal is required to suppress any interaction with the surrounding dielectric material. Moreover, due to the usual high current densities that can typically occur in very sophisticated integrated circuits, the electrophoretic effect can play a leading role in terms of overall reliability and lifetime of each metallization structure. Since electrophoretic phenomena are highly correlated with the presence of diffusion paths, any interface region can be particularly critical with respect to electrical movement, so that the overall electrophoretic behavior will vary greatly with the quality of each interface with the barrier material. Can be. As a result, since the barrier layer 310 is provided regardless of the presence of the surrounding dielectric material, a highly efficient manufacturing technique such as electroless plating can be used, whereby a reliable and uniform sealing of the metallization structure 306 is achieved. At the same time, additional highly effective barrier materials such as cobalt / tungsten / boron, cobalt / tungsten / phosphorus, etc. can be formed, which are known to exhibit high resistance to electrical transfer phenomena when combined with copper materials. As a result, by correspondingly exposing the surface portion of the metallization structure 306, each material can be deposited in a self-aligned manner, whereby the barrier layer 310 can be formed with high uniformity. As a result, the overall performance of each metallization structure 306 can be greatly increased, and at the same time, despite this, the process complexity can be reduced due to the common patterning of each via opening 304A and trench 304B. And the precision can be increased.

도 3e는 금속화 구조(306)와 결합되어 각각의 금속화 층을 정의하기 위해, 적절한 유전체 층(307)을 형성하기 위한 증착 프로세스(311) 동안의 미세구조 디바이스(300)를 도식적으로 나타낸 것이다. 증착 프로세스(311)는, 적절한 유전체 물질(이것은 정교한 집적 회로에 대해 요구될 수 있는 낮은 비유전율을 가질 수 있음)로 금속화 구조(306)를 신뢰가능하게 밀봉하기 위한, 스핀-온 기술, CVD 기술 등과 같은 임의의 적절한 증착 기술을 나타낼 수 있다. 증착 프로세스(311)의 특성에 따라, 유전체 층(307)의 임의의 과다 물질은, 실질적으로 평평한 표면 형태를 제공하기 위해 예를 들어 CMP에 의해 제거될 수 있는데, 여기서 이러한 프로세스는 장벽 층(310)의 위쪽 부분을 노출시키는 경우 신뢰가능하게 정지될 수 있고, 반면에 다른 예시적 실시예에서, CMP는 선택적 에칭 프로세스와 결합될 수 있고, 이것은 역시 장벽 층(310)의 노출에 근거하여 제어될 수 있다.3E schematically illustrates the microstructure device 300 during the deposition process 311 to form an appropriate dielectric layer 307 to combine with the metallization structure 306 to define each metallization layer. . The deposition process 311 is a spin-on technique, CVD, for reliably sealing the metallization structure 306 with a suitable dielectric material, which may have a low dielectric constant that may be required for sophisticated integrated circuits. Any suitable deposition technique, such as techniques. Depending on the nature of the deposition process 311, any excess material of the dielectric layer 307 may be removed, for example by CMP, to provide a substantially flat surface morphology, where this process may be a barrier layer 310. Can be reliably stopped when exposing the upper portion of C), while in other exemplary embodiments, the CMP can be combined with a selective etching process, which is also to be controlled based on the exposure of the barrier layer 310. Can be.

도 4a 내지 도 4b를 참조하여, 또 다른 추가적 실시예들이 설명되는데, 여기서, 트렌치와 함께 비아 개구를 위한 네거티브 형태를 제공하도록 적절한 임프린트 몰드 혹은 다이가 형성될 수 있다.4A-4B, yet further embodiments are described, where an appropriate imprint mold or die may be formed to provide a negative shape for the via opening with the trench.

도 4a는 더 진행된 제조 단계에서의 임프린트 몰드 혹은 다이(450)의 단면도를 도식적으로 나타낸 것이다. 다이(450)는 임의의 적절한 기판(451)을 포함할 수 있으며, 이것은 임의의 적절한 캐리어 물질을 나타낼 수 있고, 이러한 임의의 적절한 캐리어 물질 상에는 각각의 프로세스 기술에 따라 적절한 패터닝을 가능하게 할 수 있는 표면 부분이 형성된다. 예를 들어, 기판(451)은 실리콘 기판을 나타낼 수 있고, 이러한 실리콘 기판 상에는 실리콘 층, 실리콘 다이옥사이드 층, 혹은 임의의 다른 적절한 물질이 형성되어, 비아 개구 및 트렌치의 각각의 네거티브 이미지 혹은 형태를 형성하기 위한 후속 프로세싱 동안, 요구된 기계적 안정성 및 각각의 에칭 특성이 제공된다. 트렌치(452B)의 대응하는 네거티브 형태가 기판(451)의 위쪽 부분에 형성될 수 있거나 혹은 기판(451) 상에 제공되는 임의의 적절한 물질 층에 형성될 수 있으며, 여기서, 네거티브 형태(452B)는 실리콘 다이옥사이드, 실리콘 나이트라이드 등과 같은 임의의 적절한 물질로 구성될 수 있고, 이것은 기판(451)의 주변 물질에 관해 높은 에칭 선택도를 가질 수 있다. 더욱이, 에칭 정지 층(455)이 기판(451) 위에 형성될 수 있고, 이후 추가적인 물질 층(456) 위에 형성될 수 있고, 여기에 비아 개구(452A)의 각각의 네거티브 형태가 형성될 수 있다. 프로세스 및 디바이스 요건에 따라, 네거티브 형태(452A)는 네거티브 형태(452B)와 실질적으로 동일한 물질로 구성될 수 있거나 혹은 다른 물질로 구성될 수 있다. 도 4a에 도시된 예시적 실시예에서, 층(456)의 물질 및 네거티브 형태(542A)의 물질은 특정 에칭 방식에 관해 높은 에칭 선택도를 나타낼 수 있다. 예를 들어, 층(456)은 폴리실리콘 등으로 구성될 수 있고, 반면에 네거티브 형태(452A)는 실리콘 다이옥사이드, 실리콘 나이트라이드 등으로 구성될 수 있다.4A schematically illustrates a cross-sectional view of an imprint mold or die 450 at a further stage of manufacture. Die 450 may include any suitable substrate 451, which may represent any suitable carrier material, which may allow for proper patterning according to the respective process technology on any suitable carrier material. The surface part is formed. For example, substrate 451 may represent a silicon substrate, on which a silicon layer, silicon dioxide layer, or any other suitable material is formed to form respective negative images or shapes of via openings and trenches. During subsequent processing to provide the required mechanical stability and respective etching characteristics. The corresponding negative shape of trench 452B may be formed in the upper portion of substrate 451 or in any suitable material layer provided on substrate 451, where negative shape 452B is It may be made of any suitable material, such as silicon dioxide, silicon nitride, and the like, which may have a high etch selectivity with respect to the surrounding material of the substrate 451. Moreover, an etch stop layer 455 may be formed over the substrate 451, and then over an additional material layer 456, where each negative shape of the via opening 452A may be formed. Depending on process and device requirements, negative form 452A may be composed of substantially the same material as negative form 452B or may be composed of other materials. In the example embodiment shown in FIG. 4A, the material of layer 456 and the material of negative form 542A may exhibit high etch selectivity with respect to a particular etching scheme. For example, layer 456 may be comprised of polysilicon or the like, while negative form 452A may be comprised of silicon dioxide, silicon nitride, or the like.

도 4a에 도시된 바와 같은 임프린트 몰드(450)를 형성하기 위한 전형적인 프로세스 흐름은 다음의 프로세스를 포함할 수 있다. 먼저, 기판(451)은 각각의 트렌치를 수용하기 위해 패터닝될 수 있고, 이것은 각각의 레지스트 마스크를 제공하기 위해 포토리소그래피 및 각각의 에칭 기술에 근거하여 수행될 수 있고, 레지스트 마스크를 토대로 하여 기판이 패터닝된다. 다른 예시적 실시예에서, 변형가능 물질을 포함하는 각각의 물질 층은 각각의 임프린트 몰드를 토대로 하여 패터닝될 수 있고, 그리고 후속적으로, 패터닝된 마스크 층은 각각의 트렌치를 기판(451)에 전사하기 위한 에칭 마스크로서 사용될 수 있다. 예를 들어, 실리콘 혹은 임의의 다른 적절한 물질에 대한 각각의 에칭 기술은 종래 기술에서 잘 확립되어 있다. 이후에, 기판(451)에 형성된 트렌치는, 고밀도 플라즈마 CVD, SACVD(Sub-Atmospheric CVD) 등과 같은 잘 확립된 증착 기술에 근거하여, 실리콘 다이옥사이드 등과 같은 적절한 물질에 의해 채워질 수 있다. 이후, 표면 형태는 CMP에 의해 평탄화될 수 있고, 그리고 예를 들어 실리콘 나이트라이드로 구성된 에칭 정지 층(455)이 잘 확립된 프로세스 기술에 근거하여 증착될 수 있다. 예를 들어, 층(456)은, 폴리실리콘 물질의 형태로 제공될 때, 저압 CVD에 의해 증착될 수 있다. 후속적으로, 층(456)이 네거티브 형태(452A)에 대응하는 각각의 개구를 수용하기 위해 패터닝될 수 있고, 이것은 포토리소그래피 및 이방성 에칭 프로세스에 근거하여 수행될 수 있거나 혹은 임프린트 프로세스 기술에 근거하여 수행될 수 있으며, 여기서 대응하는 변형가능 물질 층이 층(456) 위에 형성될 수 있고, 이것은 앞서 설명된 바와 같이 각각의 임프린트 기술에 의해 패터닝될 수 있다. 이후, 대응하는 레지스트 마스크 혹은 임의의 다른 에칭 마스크를 토대로 하여, 층(456)이 패터닝될 수 있고, 그리고 각각 개구는 실리콘 다이옥사이드 등과 같은 적절한 물질로 채워질 수 있다. 결과적으로, 도 4a에 도시된 바와 같은 다이(450)가 잘 확립된 포토리소그래피 기 술에 근거하여 형성될 수 있고, 또는 임프린트 기술에 근거하여 형성될 수 있으며, 여기서 네거티브 형태(452B 및 452A)는 후속 프로세스 단계에서 제조된다.An exemplary process flow for forming the imprint mold 450 as shown in FIG. 4A may include the following process. First, the substrate 451 may be patterned to accommodate each trench, which may be performed based on photolithography and respective etching techniques to provide each resist mask, and based on the resist mask Is patterned. In another exemplary embodiment, each material layer comprising deformable material may be patterned based on a respective imprint mold, and subsequently, the patterned mask layer transfers each trench to the substrate 451. It can be used as an etching mask for For example, each etching technique for silicon or any other suitable material is well established in the prior art. The trench formed in the substrate 451 may then be filled with a suitable material, such as silicon dioxide, based on well established deposition techniques such as high density plasma CVD, sub-atmospheric CVD (SACVD), and the like. The surface morphology can then be planarized by CMP, and an etch stop layer 455 composed of silicon nitride, for example, can be deposited based on well established process technology. For example, layer 456 may be deposited by low pressure CVD when provided in the form of a polysilicon material. Subsequently, layer 456 may be patterned to receive respective openings corresponding to negative shape 452A, which may be performed based on photolithography and anisotropic etching processes or based on imprint process techniques. And a corresponding deformable material layer may be formed over layer 456, which may be patterned by each imprint technique as described above. Then, based on the corresponding resist mask or any other etch mask, layer 456 may be patterned, and each opening may be filled with a suitable material, such as silicon dioxide. As a result, the die 450 as shown in FIG. 4A may be formed based on well-established photolithography techniques, or may be formed based on imprint techniques, where the negative shapes 452B and 452A are It is manufactured in a subsequent process step.

도 4b는 더 진행된 제조 단계에서의 임프린트 다이(450)를 도식적으로 나타낸다. 하나의 예시적 실시예에서, 선택적 에칭 프로세스(457)가 층(456)의 물질을 선택적으로 제거하기 위해 수행될 수 있고, 반면에 네거티브 형태(452A)의 물질은 실질적으로 유지될 수 있다. 예를 들어, 높은 선택적 습식 화학적 에칭 프로세스가 실리콘 다이옥사이드에 대해 폴리실리콘을 선택적으로 제거하기 위해 종래 기술 분야에서 잘 확립되어 있다. 다른 실시예에서, 높은 선택적 건식 에칭 프로세스가 사용될 수 있다. 다른 예시적 실시예에서, 에칭 프로세스(457)는 실질적으로 네거티브 형태(452A)를 덮는 에칭 마스크(미도시)에 근거하여 수행되는 높은 이방성 에칭 프로세스를 나타낼 수 있으며, 네거티브 형태(452A)는 층(456)으로부터 직접적으로 형성될 수 있다. 이것을 위해, 임프린트 몰드(450)는 도 4a를 참조하여 앞서 설명된 바와 유사한 방식으로 네거티브 형태(452B)를 수용하도록 형성될 수 있고, 그리고 후속적으로 에칭 정지 층(455) 및 층(456)이 앞서 설명된 바와 같이 증착될 수 있다. 이후, 예를 들어 포토리소스래피에 의해 형성된 레지스트 마스크의 형태에서의 각각의 에칭 마스크 혹은 예를 들어 임프린트 기술에 의해 형성된 임의의 다른 마스크가 부분(452A)을 덮기 위해 사용될 수 있으며, 이것은 층(456)의 물질로부터 에칭 프로세스(457) 동안 형성될 수 있다. 결과적으로, 선택된 방법에 상관없이, 네거티브 형태(452A)는 에칭 프로세스(457)의 완료 이후에 제공될 수 있다.4B schematically illustrates the imprint die 450 at a further advanced manufacturing stage. In one exemplary embodiment, a selective etching process 457 may be performed to selectively remove the material of the layer 456, while the material of the negative form 452A may be substantially maintained. For example, high selective wet chemical etching processes are well established in the art to selectively remove polysilicon relative to silicon dioxide. In other embodiments, a high selective dry etch process may be used. In another exemplary embodiment, the etching process 457 may represent a high anisotropic etching process performed based on an etch mask (not shown) that substantially covers the negative form 452A, where the negative form 452A is formed of a layer ( 456 may be formed directly. For this purpose, the imprint mold 450 can be formed to receive the negative form 452B in a similar manner as described above with reference to FIG. 4A, and subsequently the etch stop layer 455 and layer 456 May be deposited as described above. Thereafter, each etching mask, for example in the form of a resist mask formed by photolithography, or any other mask formed by, for example, an imprint technique, can be used to cover the portion 452A, which is a layer 456. ) May be formed during the etching process 457 from the material. As a result, regardless of the method selected, negative form 452A may be provided after completion of etching process 457.

도 4c는 네거티브 형태(452A, 452B)의 물질에 대해 기판(451)의 물질을 선택 적으로 제거하기 위해 또 다른 선택적 에칭 프로세스(458) 동안의 임프린트 다이(450)를 도식적으로 나타낸 것이다. 예를 들어, 실리콘 다이옥사이드에 대해 실리콘을 제거하기 위한 높은 선택적 에칭 방법이 종래 기술에서 잘 확립되어 있다. 에칭 프로세스(458)를 신뢰가능하게 제어하기 위해, 대응하는 에칭 정지 층(미도시)(이것은 네거티브 형태(452A, 452B)와 실질적으로 동일한 물질로 구성될 수 있음)이 이러한 것을 위해 제공될 수 있다. 결과적으로, 에칭 프로세스(458)의 완료 이후, 각각의 네거티브 형태(452A, 452B)가 노출되고, 그리고 공통 임프린트 프로세스에 근거하여 다른 기판에 형성될 금속화 구조에 대한 대응하는 비아 개구 및 트렌치를 실질적으로 나타낼 수 있다. 이해해야만 하는 것으로, 임의의 적절한 변형가능 물질에 관한 표면 거칠음 혹은 부착력을 적절하게 감소시키기 위해, 예를 들어 표면 변형 프로세스에 의한 후속 임프린트 프로세스를 위해 임의의 적절한 방식으로 다이(450)가 준비될 수 있다. 예를 들어, 각각의 얇은 표면 필름이 CVD, ALD 등과 같은 적절한 증착 기술에 근거하여 형성될 수 있다. 다른 예시적 실시예에서, 요구돤 표면 특성을 제공하기 위해, 예를 들어 질화법(nitridation) 등에 의한 각각의 표면 처리가 수행될 수 있다. 또한, 이해해야만 하는 것으로, 프로세스 기술에 따라, 각각의 네거티브 형태의 특정 구성, 즉 크기 및 형상은 선행하는 프로세스 기술에 근거하여 조절될 수 있다. 예를 들어, 만약 각각의 네거티브 형태(452B)에 대해 다른 높이가 요구된다면, 다이(450)의 대응하는 부분이 덮힐 수 있고 그리고 대응하는 이방성 에칭 프로세스가, 덮히지 않은 네거티브 형태(452B)부터 물질을 선택적으로 제거하기 위해, 수행될 수 있다. 다른 경우에, 각각의 에 칭 마스크가 임프린트 기술에 의해 정의될 때, 각각의 네거티브 형태(452A, 452B)의 서로 다른 크기 및 형태가 각각의 임프린트 몰드를 토대로 하여 획득될 수 있다. 결과적으로, 다이(450)는 미세구조 디바이스(100, 200, 및 300)를 참조하여 앞서 설명된 바와 같은 프로세스 기술에서 효율적으로 사용될 수 있고, 그리고 또한 설명될 다른 예시적 실시예들과 결합되어 사용될 수도 있다. 또 다른 예시적 실시예에서, 임프린트 몰드(450)는 자체적으로 금속화 구조로서 형성될 수 있고, 이것은 앞서 설명된 바와 같이 디바이스(100, 200, 및 300)와 같은 각각의 미세구조 디바이스 상에 "임프린트"될 수 있다.4C diagrammatically illustrates imprint die 450 during another selective etching process 458 to selectively remove material of substrate 451 relative to material of negative shapes 452A and 452B. For example, high selective etching methods for removing silicon for silicon dioxide are well established in the prior art. In order to reliably control the etching process 458, a corresponding etch stop layer (not shown), which may be composed of substantially the same material as the negative forms 452A, 452B, may be provided for this. . As a result, after completion of the etching process 458, each of the negative shapes 452A, 452B is exposed and substantially corresponding trench openings and trenches for the metallization structures to be formed in other substrates based on the common imprint process. It can be represented as It should be understood that the die 450 may be prepared in any suitable manner to appropriately reduce surface roughness or adhesion with respect to any suitable deformable material, for example for subsequent imprint processes by surface modification processes. have. For example, each thin surface film can be formed based on suitable deposition techniques such as CVD, ALD, and the like. In another exemplary embodiment, each surface treatment may be performed, for example by nitriding or the like, to provide the desired surface properties. It should also be understood that, depending on the process description, the specific configuration of each negative form, i. E. Size and shape, may be adjusted based on the preceding process description. For example, if a different height is required for each negative form 452B, the corresponding portion of die 450 may be covered and the corresponding anisotropic etching process may be performed from the uncovered negative form 452B. May be performed to selectively remove. In other cases, when each etching mask is defined by an imprint technique, different sizes and shapes of each negative shape 452A, 452B may be obtained based on each imprint mold. As a result, die 450 may be used efficiently in the process technology as described above with reference to microstructure devices 100, 200, and 300, and may also be used in conjunction with other example embodiments to be described. It may be. In another exemplary embodiment, the imprint mold 450 may itself be formed as a metallized structure, which may be formed on each microstructured device, such as devices 100, 200, and 300, as described above. Imprint ".

도 5는 금속화 구조(550)를 도식적으로 나타낸 것으로, 이것은 일부 예시적 실시예에서 각각의 미세구조 디바이스(500)에 임프린트되는 즉 기계적으로 연결되는 "임프린트 몰드 혹은 다이"로서 고려될 수 있으며, 미세구조 디바이스(500)는 콘택 부분들(511) 각각에 연결되는 복수의 회로 소자(510)를 포함하는 반도체 디바이스를 나타낼 수 있다. 금속화 구조(550)는 또한 각각의 금속화 층(107, 207 및 307)을 참조하여 앞서 설명된 바와 같은 프로세스 기술에 근거하여 형성될 수 있는, 혹은 임프린트 다이(450)를 참조하여 설명된 바와 같은 프로세스 흐름에 따라 형성될 수 있는, 하나 또는 그 이상의 금속화 층을 포함할 수 있으며, 여기서 각각의 네거티브 형태가 적절한 금속 물질를 토대로 하여 형성될 수 있다. 하나의 예시적 실시예에서, 금속화 구조(550)는, 앞서 설명된 바와 같이, 각각의 비아(552A)와 함께 각각의 금속 라인(552B)을 공통적으로 패터닝하기 위한 각각의 임프린트 프로세스에 근거하여 형성될 수 있으며, 여기서 복수의 각각의 프로세스 시퀀스가, 만 약 필요하다면, 복수의 금속화 층을 제공하기 위해 반복될 수 있다. 금속화 구조(550)는 앞서 설명된 바와 같이 정렬 절차에 근거하여 디바이스(500)에 대해 정렬될 수 있다. 더욱이, 일부 예시적 실시예에서, "변형가능" 층(503)이 예를 들어 적절한 전해질 용액의 얇은 층의 형태로 제공될 수 있고, 이것으로부터, 금속화 구조(550)의 층(503)과의 접촉시, 선택적 물질 증착이 개시되어 콘택 부분(511)과의 전기적 및 기계적 접촉이 제공될 수 있다. 이후, 층(503)의 과다 물질이 제거될 수 있고, 그리고 적절한 유전체 물질로 대체될 수 있는데, 이것은 높은 점성 상태에서 인가될 수 있다.5 schematically illustrates a metallization structure 550, which in some example embodiments may be considered as an “imprint mold or die” that is imprinted, ie, mechanically connected to each microstructure device 500. Microstructure device 500 may represent a semiconductor device including a plurality of circuit elements 510 connected to each of contact portions 511. Metallization structure 550 may also be formed based on a process technique as described above with reference to respective metallization layers 107, 207 and 307, or as described with reference to imprint die 450. It may comprise one or more metallization layers, which may be formed according to the same process flow, wherein each negative form may be formed based on a suitable metal material. In one exemplary embodiment, the metallization structure 550 is based on each imprint process for commonly patterning each metal line 552B with each via 552A, as described above. And a plurality of respective process sequences can be repeated, if necessary, to provide a plurality of metallization layers. The metallization structure 550 may be aligned with respect to the device 500 based on the alignment procedure as described above. Moreover, in some exemplary embodiments, the "deformable" layer 503 may be provided, for example in the form of a thin layer of a suitable electrolyte solution, from which the layer 503 of the metallization structure 550 Upon contacting, selective material deposition may be initiated to provide electrical and mechanical contact with contact portion 511. The excess material of layer 503 can then be removed and replaced with a suitable dielectric material, which can be applied in a high viscosity state.

결과적으로, 금속화 구조(550)는, 앞서 설명된 바와 같이, 고효율의 임프린트 기술에 근거하여 형성될 수 있으며, 여기서 각각의 반도체 디바이스의 금속화 구조를 형성하는 프로세스와 회로 소자를 형성하기 위한 제조 시퀀스의 분리도가 높아질 수 있다. 이러한 방식으로, 금속화 구조(550) 및 반도체 디바이스(500)를 포함하는 완료된 디바이스를 위한 전체 제조 시간은 크게 감소될 수 있고, 그리고 추가적으로 프로세스 유연도 및 수율이 개선될 수 있는데, 왜냐하면 금속화 구조에서의 혹은 디바이스 레벨에서의 임의의 고장이 결과적으로 완전한 반도체 디바이스의 손실을 일으키지 않기 때문이다.As a result, the metallization structure 550 can be formed based on a high efficiency imprint technique, as described above, wherein the process for forming the metallization structure of each semiconductor device and the fabrication for forming circuit elements. The degree of separation of the sequences can be increased. In this way, the overall fabrication time for the completed device including the metallization structure 550 and the semiconductor device 500 can be greatly reduced, and further the process flexibility and yield can be improved because the metallization structure This is because any failure at or at the device level results in no loss of the complete semiconductor device.

도 6a 내지 도 6c를 참조하면, 또 다른 예시적 실시예가 이제 설명되며, 여기서 각각의 회로 피처, 특히 측벽 부분의 적절한 형상을 제공하기 위해, 적절하게 설계된 임프린트 몰드 혹은 다이가 제공된다.6A-6C, yet another exemplary embodiment is now described, where a properly designed imprint mold or die is provided to provide the proper shape of each circuit feature, particularly the sidewall portion.

도 6a는 기판(651)과, 그리고 각각의 회로 피처의 복수의 네거티브 형 태(652)를 포함하는 임프린트 몰드(650)를 도식적으로 나타낸 것이고, 이것은, 예시적 일 실시예에서, 비아 개구를 위한 네거티브 형태(652A) 및 금속화 구조의 전도성 라인을 위한 트렌치를 위한 네거티브 형태(652B)를 나타낼 수 있다. 다른 예시적 실시예에서, 이후에 더 상세히 설명되는 바와 같이, 각각의 네거티브 형태(652)는 분리 트렌치, 게이트 전극 등과 같은 다른 회로 소자를 나타낼 수 있다. 기판(651) 및 네거티브 형태(652)의 물질 성분에 관하여, 동일한 기준이 임프린트 몰드(150, 250, 350, 450)를 참조하여 앞서 설명된 바와 같이 적용될 수 있다. 도시된 실시예에서, 네거티브 형태(652A, 652B)의 각각의 측벽(652S)의 적어도 상부 부분은 하부 부분(652D)에 대하여 비수직인 배향을 포함할 수 있으며, 하나의 예시적 실시예에서, 각각의 측벽 부분(652S)은, 비아 개구 및 트렌치의 각각의 상부 부분에서 증가하는 폭 혹은 직경을 제공하는 테이퍼 형상을 정의할 수 있으며, 이것은 각각의 증착 기술 동안 채움 동작을 효율적으로 개선시킬 수 있다.6A schematically depicts an imprint mold 650 comprising a substrate 651 and a plurality of negative shapes 652 of each circuit feature, which, in one exemplary embodiment, provides for via openings. A negative form 652A and a negative form 652B for the trench for the conductive lines of the metallized structure can be shown. In other example embodiments, as described in more detail below, each negative shape 652 may represent another circuit element, such as a isolation trench, a gate electrode, or the like. With regard to the material component of the substrate 651 and the negative form 652, the same criteria may be applied as described above with reference to the imprint molds 150, 250, 350, 450. In the illustrated embodiment, at least the upper portion of each sidewall 652S of negative shapes 652A, 652B may include a non-vertical orientation with respect to the lower portion 652D, and in one exemplary embodiment, Each sidewall portion 652S may define a tapered shape that provides increasing width or diameter at each top portion of the via opening and trench, which can efficiently improve fill operation during each deposition technique. .

도 6b는 임프린트 몰드(650)를 도식적으로 나타낸 것이고, 임프린트 몰드 상에는 각각의 비아 개구를 위한 네거티브 형태(652A)가 형성되며, 이것은 패터닝 프로세스가 비아 개구 및 트렌치에 대해 개별적으로 수행될 때 유리할 수 있다. 이해해야만 하는 것으로, 네거티브 형태(652A)의 측벽(652S)이 전체 깊이를 따라서 계속적인 테이퍼링을 반드시 가지는 것은 아니며, 디바이스 및 프로세스 요건에 따라 서로 다른 측벽 각도를 가질 수 있다. 예를 들어, 측벽 부분(652S)의 상당한 경사가 단지 상부 부분에서 제공될 수 있고, 반면에 하부 부분은 하부(652D)에 대하여 실질적으로 수직 배향을 가질 수 있다. 그러나, 디바이스 요건에 따라, 임의의 다 른 측벽 구성이 제공될 수 있다.6B schematically illustrates the imprint mold 650, on which a negative form 652A is formed for each via opening, which may be advantageous when the patterning process is performed separately for the via opening and trench. . It should be understood that sidewall 652S of negative form 652A does not necessarily have continuous tapering along its entire depth, but may have different sidewall angles depending on device and process requirements. For example, a significant slope of the sidewall portion 652S may be provided only in the upper portion, while the lower portion may have a substantially perpendicular orientation with respect to the lower portion 652D. However, depending on the device requirements, any other sidewall configuration may be provided.

도 6c는 각각의 트렌치를 위한 네거티브 형태(652B)를 포함하는 임프린트 몰드(650)를 도식적으로 나타낸 것이며, 이 경우 적절한 크기, 본 예에서는 측벽 부분(652S)의 각각의 테이퍼링이 디바이스 요건에 따라 제공될 수 있다.6C schematically illustrates an imprint mold 650 comprising a negative shape 652B for each trench, in which case the appropriate size, in this example each tapering of sidewall portion 652S, is provided according to device requirements. Can be.

결과적으로, 각각의 개구를 형성하기 위한 임프린트 몰드(650)를 사용하는 경우, 장벽 물질 및/또는 벌크 물질의 후속 증착에서의 채움 동작이 크게 강화될 수 있고, 그럼으로써 각각의 금속화 구조의 신뢰도가 증가될 수 있는 데, 왜냐하면 예를 들어, 장벽 물질의 증착이 보다 더 신뢰가능하게 수행됨으로 인해 전기적 이동에 대한 저항이 크게 강화되고, 그리고 전기적 및 기계적 특성이 개선될 수 있기 때문이다. 예를 들어, 도 6a에 도시된 임프린트 몰드(650)는 앞서 설명된 프로세스 기술과 함께 유리하게 사용될 수 있고, 여기서 각각의 비아 개구 및 트렌치가 공통 임프린트 프로세스로 형성된다. 한편, 도 6b 및 도 6c에 도시된 바와 같은 임프린트 몰드(650)는 각각의 프로세스 시퀀스에서 유리하게 사용될 수 있고, 여기서 각각의 비아 개구 및 트렌치가 개별적 프로세스 단계에서 패터닝된다.As a result, when using the imprint mold 650 to form each opening, the filling operation in the subsequent deposition of the barrier material and / or bulk material can be greatly enhanced, whereby the reliability of each metallization structure Can be increased because, for example, the deposition of the barrier material can be performed more reliably, thereby greatly enhancing the resistance to electrical movement, and improving the electrical and mechanical properties. For example, the imprint mold 650 shown in FIG. 6A can be advantageously used with the process technique described above, where each via opening and trench is formed in a common imprint process. On the other hand, the imprint mold 650 as shown in FIGS. 6B and 6C can be advantageously used in each process sequence, where each via opening and trench is patterned in a separate process step.

도 7a 및 도 7b 그리고 도 8a 내지 8d를 참조하면, 또 다른 예시적 실시예가 이제 설명되며, 여기서 비수직 측벽 부분을 구비한 각각의 임프린트 몰드가 정교한 집적 회로에 대한 금속화 구조와는 다른 회로 소자를 패터닝하기 위해 사용될 수 있다.With reference to FIGS. 7A and 7B and FIGS. 8A-8D, yet another exemplary embodiment is now described, wherein each imprint mold with non-vertical sidewall portions differs from the metallization structure for a sophisticated integrated circuit. Can be used to pattern.

도 7a는 기판(701)을 포함하는 반도체 디바이스(700)의 단면도를 도식적으로 나타낸 것이고, 여기서 기판(701)은 임의의 적절한 기판을 나타낼 수 있는데, 이러 한 기판 상에는, 트랜지스터, 커패시터 등과 같은 반도체 소자를 형성하기 위한 물질 층이 형성된다. 예를 들어, 기판(701)은 캐리어 물질을 나타낼 수 있으며, 이러한 캐리어 물질 상에는 각각의 회로 소자를 형성하기 위한 실리콘 기반의 반도체 층이 형성된다. 이러한 점에서, 실리콘 기반의 반도체 층은 상당한 양의 실리콘, 예를 들어 대략 50 원자 퍼센트 혹은 그 이상의 실리콘을 포함하는 실질적으로 결정성인 반도체 층으로서 이해되어야 한다. 더욱이, 마스크 층(703)이 기판(701) 위에 형성될 수 있고, 그리고 이러한 마스크 층(703)에는 각각의 개구(704A)가 형성될 수 있고, 이러한 각각의 개구(704A)는 개구(704A)의 하부(704D)에 관하여 적어도 부분적으로 비수직 배향을 갖는 측벽(704S)을 구비한다. 하나의 예시적 실시예에서, 개구(704B)는 대응하는 트렌치를 기판(701)에 형성하기 위해 사용되는 트렌치를 나타낼 수 있고, 이것은 대응하는 활성 영역을 기판(701)에 정의하기 위해, 정교한 반도체 디바이스에 대한 분리 트렌치로서 동작할 수 있다.7A schematically illustrates a cross-sectional view of a semiconductor device 700 including a substrate 701, where the substrate 701 may represent any suitable substrate, on which a semiconductor device, such as a transistor, a capacitor, or the like. A layer of material is formed to form. For example, the substrate 701 may represent a carrier material, on which a silicon based semiconductor layer is formed to form each circuit element. In this regard, a silicon based semiconductor layer should be understood as a substantially crystalline semiconductor layer comprising a significant amount of silicon, for example approximately 50 atomic percent or more silicon. Moreover, a mask layer 703 may be formed over the substrate 701, and each opening 704A may be formed in the mask layer 703, and each opening 704A may be an opening 704A. A sidewall 704S having a non-vertical orientation at least partially with respect to the bottom 704D of. In one exemplary embodiment, opening 704B may represent a trench used to form a corresponding trench in substrate 701, which may be a sophisticated semiconductor to define a corresponding active region in substrate 701. It can act as a separate trench for the device.

도 7a에 도시된 바와 같이 디바이스(700)를 형성하기 위한 전형적인 프로세스 흐름은 다음의 프로세스를 포함할 수 있다. 기판(701)의 제공 이후, 층(703)이 임의의 적절한 증착 기술에 의해 형성될 수 있고, 여기서 층(703)의 물질은 변형 가능 물질인데, 즉, 층(703)은, 예를 들어 도 6c를 참조하여 설명된 바와 같은 임의의 적절한 형상을 가질 수 있는 대응하는 임프린트 몰드(미도시)와의 접촉 시 크게 변형가능하거나 점성도가 낮은 상태에 있을 수 있다. 따라서, 각각 설계된 측벽 부분을 갖는 각각의 임프린트 몰드로 인해, 결과적으로, 요구된 비수직 형상, 예를 들어 도 7a에 도시된 바와 같은 테이퍼 형상의 구성을 갖는 대응하는 개구(704B)가 형성될 수 있다. 이후에, 앞서 설명된 바와 같이, 임프린트 몰드가 제거될 수 있고, 그리고 층(703)의 물질은 높은 비변형가능 상태에 있다. 이후에, 디바이스(700)는 대응하는 에칭 프로세스(705)에 놓여질 수 있고, 에칭 프로세스(705) 동안 층(703)의 물질 및 기판(701)의 노출된 부분의 물질이 제거될 수 있으며, 그럼으로써 개구(704B)가 기판(701)에 점점 더 전사될 수 있다.As shown in FIG. 7A, a typical process flow for forming device 700 may include the following process. After providing the substrate 701, the layer 703 may be formed by any suitable deposition technique, where the material of the layer 703 is a deformable material, ie, the layer 703 may be, for example, illustrated in FIG. It may be in a highly deformable or low viscosity state upon contact with a corresponding imprint mold (not shown), which may have any suitable shape as described with reference to 6c. Thus, with each imprint mold having each designed sidewall portion, as a result, a corresponding opening 704B having a desired non-vertical shape, for example, a tapered shape configuration as shown in FIG. 7A can be formed. have. Thereafter, as described above, the imprint mold can be removed, and the material of layer 703 is in a high undeformable state. Thereafter, the device 700 may be placed in a corresponding etching process 705, during which the material of the layer 703 and the material of the exposed portion of the substrate 701 may be removed, so that As a result, the opening 704B can be increasingly transferred to the substrate 701.

도 7b는 에칭 프로세스(705)의 완료 이후의 반도체 디바이스(700)를 도식적으로 나타낸 것이고, 여기서 각각의 개구(706B)가 기판(701)에 형성되고, 여기서 요구된 테이퍼링, 즉 각각의 측벽 부분(706S)의 비수직 구성이 각각 성형된 개구(704B)를 토대로 하여 획득된다. 따라서, 요구된 크기 및 형상을 구비한 각각의 임프린트 몰드를 제공함으로써, 개구(706B)의 각각의 구성은 특별히 변형된 에칭 기술 등을 요구함 없이 높은 유연도로 설계될 수 있다.7B schematically illustrates a semiconductor device 700 after completion of the etching process 705, where each opening 706B is formed in the substrate 701, where the required tapering, i.e., each sidewall portion ( The non-vertical configuration of 706S is obtained based on the molded openings 704B, respectively. Thus, by providing each imprint mold with the required size and shape, each configuration of the opening 706B can be designed with high flexibility without requiring specially modified etching techniques or the like.

도 8a는 기판(801)을 포함하는 반도체 디바이스(800)를 도식적으로 나타낸 것이고, 기판(801) 상에는 물질 층(807)이 형성되고, 이것은 예시적 일 실시예에서, 후속 프로세스 단계와 호환될 수 있는 실리콘 다이옥사이드 등과 같은 임의의 적절한 물질을 포함할 수 있다. 더욱이, 마스크 층(803)이 층(807) 상에 형성될 수 있고, 마스크 층(803)에는 대응하는 개구(804B)가 형성되며, 개구(804B)는 개구(804B)의 하부(804D)에 관해 비수직인 측벽 부분(804S)을 포함하는 특정 형상을 갖는다. 도 8a에 예시된 실시예에서, 개구(804B)는 상부 부분에서 증가된 직경을 가질 수 있으며, 반면에 하부 부분에서 실질적으로 일정한 폭을 나타낼 수 있다. 예를 들어, 개구(804B)는 기판(801) 위에 형성될 게이트 전극을 나타낼 수 있다.8A schematically illustrates a semiconductor device 800 including a substrate 801, on which a layer of material 807 is formed, which, in one exemplary embodiment, may be compatible with subsequent process steps. Any suitable material such as silicon dioxide, and the like. Furthermore, a mask layer 803 can be formed on layer 807, with a corresponding opening 804B formed in the mask layer 803, and the opening 804B being in the lower portion 804D of the opening 804B. Has a particular shape including sidewall portion 804S that is non-vertical in relation. In the embodiment illustrated in FIG. 8A, opening 804B may have an increased diameter in the upper portion, while exhibiting a substantially constant width in the lower portion. For example, opening 804B may represent a gate electrode to be formed over substrate 801.

도 8a에 도시된 바와 같은 반도체 디바이스(800)를 형성하기 위한 전형적인 프로세스 흐름은 앞서 설명된 바와 유사한 프로세스를 포함할 수 있고, 여기서 도 7a 및 도 7b에서 도시된 바와 같이 분리 트렌치를 토대로 하여 형성될 수 있는 임의의 분리 구조의 형성 이후, 물질 층(807)이 잘 확립된 증착 기술에 근거하여 형성될 수 있다. 이후에, 변형가능 물질의 층(803)이 적절한 기술에 근거하여 형성될 수 있고, 이후에 개구(804B)가 적절하게 설계된 임프린트 몰드를 토대로 하여 형성될 수 있어 개구(804B) 형상이 요구된 대로 구성될 수 있다. 이러한 예에서, 실질적으로 일정한 폭의 실질적으로 일정한 하부 부분이 잘 정의된 게이트 길이를 얻기 위해 제공될 수 있고, 그리고 그 상부 부분은 각각의 게이트 전극의 강화된 전도성을 제공할 수 있다. 대응하여 층(803)을 경화시킴으로써 그리고 각각의 임프린트 몰드를 제거함으로써 개구(804B)를 형성한 이후에, 디바이스(800)는 각각의 이방성 에칭 프로세스(805)에 놓여질 수 있어 층(803)의 물질 및 층(807)의 노출된 부분의 물질이 공통적으로 제거될 수 있으며, 그럼으로써 개구(804B)가 층(807)에 점점 더 전사될 수 있다.A typical process flow for forming a semiconductor device 800 as shown in FIG. 8A may include a process similar to that described above, where it is to be formed based on isolation trenches as shown in FIGS. 7A and 7B. After formation of any separation structure that may be, a layer of material 807 may be formed based on well established deposition techniques. Thereafter, a layer 803 of deformable material may be formed based on the appropriate technique, and then the opening 804B may be formed based on a suitably designed imprint mold such that the opening 804B shape is required as required. Can be configured. In this example, a substantially constant lower portion of substantially constant width may be provided to obtain a well defined gate length, and the upper portion may provide enhanced conductivity of each gate electrode. After forming the openings 804B by correspondingly curing the layer 803 and removing each imprint mold, the device 800 can be placed in each anisotropic etching process 805 so that the material of the layer 803 And material of the exposed portion of layer 807 may be commonly removed, thereby openings 804B may be increasingly transferred to layer 807.

도 8b는 에칭 프로세스(805)의 완료 이후의 디바이스(800)를 도식적으로 나타낸 것이고, 이것에 의해 대응하는 개구(807B)가 생성된다.8B schematically illustrates the device 800 after completion of the etching process 805, thereby creating a corresponding opening 807B.

도 8c는 더 진행된 제조 단계에서의 디바이스(800)를 도식적으로 나타낸 것이다. 여기서, 게이트 절연 층(812)이 개구(807B)의 하부에서 형성되고, 여기서 게이트 절연 층(812)은 물질 성분 및 두께에 있어 임의의 적절한 구성을 가질 수 있는데, 이러한 물질 성분 및 두께는, 형성될 각각의 트랜지스터 소자에 의해 요구되 는 것이다. 더욱이, 예를 들어 폴리실리콘 등의 게이트 전극 물질(813)의 층이 개구(807B)를 신뢰가능하게 채우도록 형성될 수 있다. 이것을 위해, 저압 CVD 등과 같은 적절한 증착 기술이 사용될 수 있다. 이후에, 층(813)의 과다 물질은 CMP에 의해 제거될 수 있다.8C schematically illustrates the device 800 in a further manufacturing stage. Here, a gate insulating layer 812 is formed below the opening 807B, where the gate insulating layer 812 can have any suitable configuration in terms of material composition and thickness, which material component and thickness can be formed It is required by each transistor device to be. Moreover, a layer of gate electrode material 813, such as polysilicon, for example, may be formed to reliably fill the opening 807B. For this purpose, suitable deposition techniques such as low pressure CVD can be used. Thereafter, excess material in layer 813 may be removed by CMP.

도 8d는 더 진행된 제조 단계에서의 디바이스(800)를 도식적으로 나타낸 것이다. 여기서, 폭(813U)을 갖는 상부 부분 및 폭(813L)을 갖는 하부 부분을 구비한 게이트 전극(813A)이 유지되도록 층(807)이 제거되며, 그럼으로써 게이트 전극(813A)의 전도도가 증가되고, 반면에, 폭(813L)에 의해 실질적으로 정의되는 요구된 게이트 길이가 유지될 수 있다. 게이트 전극(813A)은 높은 선택성 에칭 프로세스에 근거하여 형성될 수 있고, 여기서 잘 확립된 등방성 에칭 기술이 사용될 수 있다. 예를 들어, 만약 게이트 절연 층(812)이 실리콘 나이트라이드로 구성될 수 있다면, 잘 확립된 등방성 에칭 방법이, 실리콘 다이옥사이드의 형태로 제공되는 경우, 층(813)의 물질을 제거하기 위해 사용될 수 있으며, 선택적으로는 게이트 전극(813A) 및 게이트 절연 층(812)까지 제거하기 위해 사용될 수 있다. 다른 경우에 있어, 게이트 절연 층(812)이 실리콘 다이옥사이드에 기반하여 형성될 때, 적절한 물질이 층(807)에 대해 선택될 수 있는데, 예를 들어 실리콘 나이트라이드, 혹은 폴리머 물질 등과 같은 임의의 다른 적절한 물질이 있을 수 있으며, 이것은 게이트 전극 물질(813)의 신뢰가능한 증착을 가능하게 할 수 있는 능력을 단지 가질 수 있다.8D schematically illustrates the device 800 at a further stage of manufacture. Here, layer 807 is removed so that gate electrode 813A having an upper portion having a width 813U and a lower portion having a width 813L is retained, thereby increasing the conductivity of gate electrode 813A and On the other hand, the required gate length, which is substantially defined by the width 813L, can be maintained. Gate electrode 813A may be formed based on a high selective etching process, where a well established isotropic etching technique may be used. For example, if the gate insulating layer 812 can be composed of silicon nitride, a well established isotropic etching method can be used to remove the material of the layer 813 if provided in the form of silicon dioxide. And may optionally be used to remove even the gate electrode 813A and the gate insulating layer 812. In other cases, when the gate insulation layer 812 is formed based on silicon dioxide, an appropriate material may be selected for the layer 807, for example silicon nitride, or any other such as polymeric material. There may be a suitable material, which may only have the ability to enable reliable deposition of the gate electrode material 813.

결과적으로, 본 명세서에서 개시되는 것은 미세구조의 피처를 패터닝하는 개 선된 기술을 제공하고, 그리고 일부 예시적 실시예에서, 임프린트 기술에 근거하여 비아 및 금속 라인과 같은 금속화 구조의 피처를 패터닝하는 개선된 기술을 제공하며, 여기서 비아 개구 및 트렌치를 공통적으로 임프린트함으로써 적어도 일부 복잡한 정렬 절차를 피할 수 있어, 프로세스 복잡도가 크게 감소될 수 있다. 이러한 것을 위해, 비아 및 라인 구조를 포함하는 적절하게 구성된 임프린트 몰드가 사용될 수 있다. 다른 실시형태로서, 형상, 특히 각각의 회로 피처의 측벽 구성은 각각 설계된 임프린트 몰드를 토대로 하여 적합하게 변경될 수 있고, 그럼으로써 비아, 금속 라인, 분리 트렌치, 게이트 전극 등과 같은 회로 소자의 형성에 있어 높은 유연도가 제공될 수 있고, 여기서 전체 크기에 추가하여, 측벽 구성은 제조 프로세스 및/또는 각각의 회로 피처의 최종 성능를 향상시키기 위한 비수직 부분을 포함하도록 적합하게 변경될 수 있다. 따라서, 감소된 프로세스 복잡도에 추가하여, 개선된 디바이스 성능이 달성될 수 있는데, 왜냐하면, 예를 들어 금속화 구조에 관하여, 전기적 이동에 있어 개선된 신뢰도 및 성능이 획득될 수 있기 때문이다. 더욱이, 금속화 구조의 적어도 상당한 부분의 "기계적" 패터닝은 각각의 구조를 형성하는 유연도를 증가시킬 수 있고, 여기서 일부 예시적 실시예의 경우, 금속화 구조의 형성은 디바이스 레벨에서의 회로 소자의 형성으로부터 완전히 분리될 수 있는데, 이것은 전체 제조 시간을 크게 감소시키고, 생산 수율을 증가시킨다.As a result, what is disclosed herein provides an improved technique for patterning microstructured features, and in some exemplary embodiments, patterning features of metallized structures, such as vias and metal lines, based on imprint techniques. An improved technique is provided wherein at least some complex alignment procedures can be avoided by commonly imprinting the via openings and trenches, which can greatly reduce process complexity. For this purpose, a suitably constructed imprint mold including vias and line structures can be used. As another embodiment, the shape, in particular the sidewall configuration of each circuit feature, may be suitably modified based on the respective designed imprint mold, thereby forming circuit elements such as vias, metal lines, isolation trenches, gate electrodes, and the like. High flexibility can be provided, in addition to the overall size, the sidewall configuration can be suitably modified to include non-vertical portions to enhance the manufacturing process and / or the final performance of each circuit feature. Thus, in addition to reduced process complexity, improved device performance can be achieved because improved reliability and performance in electrical movement can be obtained, for example with respect to metallization structures. Moreover, the "mechanical" patterning of at least a substantial portion of the metallized structure can increase the flexibility of forming each structure, where for some exemplary embodiments, the formation of the metallized structure is at the device level. It can be completely separated from the formation, which greatly reduces the overall manufacturing time and increases the production yield.

앞서 개시된 특정 실시예들은 단지 예시적인 것인데, 왜냐하면 본 발명은 본 명세서의 설명에 혜택을 받는 본 발명의 기술분야에서 숙련된 기술을 가진자들에게는 명백한 것으로 다른지만 등가적인 방법으로 수정될 수 있고 실시될 수 있기 때 문이다. 예를 들어, 앞서 설명된 프로세스 단계들은 서로 다른 순서로 수행될 수 있다. 더욱이, 아래의 특허청구범위에서 설명되는 바와 다른 그 어떤 한정사항도 본 명세서에서 보여지는 구성 혹은 설계의 세부사항을 한정하지 않는다. 따라서, 앞서 설명된 특정 실시예들은 변경 혹은 수정될 수 있고, 그리고 이러한 모든 변형들은 본 발명의 범위 및 사상 내에 있는 것으로 고려된다. 따라서, 본원발명의 보호범위는 아래의 특허청구범위에서 설명되는 바와 같다.The specific embodiments disclosed above are merely exemplary, since the invention is apparent to those skilled in the art having the benefit of the description herein and may be modified and practiced in other but equivalent ways. Because it can be. For example, the process steps described above may be performed in a different order. Moreover, any limitations other than those set forth in the claims below do not limit the details of construction or design shown herein. Accordingly, the specific embodiments described above may be changed or modified, and all such modifications are considered to be within the scope and spirit of the invention. Therefore, the protection scope of the present invention is as described in the claims below.

Claims (20)

기판 위에 형성된 변형가능 물질 층에 비아 개구 및 트렌치를 공통적으로 임프린트하는 단계와, 여기서 상기 비아 개구 및 상기 트렌치는 미세구조 디바이스의 금속화 구조의 피처들에 대응하고; 그리고Common imprinting the via openings and trenches in the layer of deformable material formed over the substrate, wherein the via openings and the trenches correspond to features of the metallized structure of the microstructured device; And 상기 비아 개구 및 상기 트렌치를 토대(basis)로 하여 비아 및 전도성 라인을 형성하는 단계를 포함하여 구성되는 것을 특징으로 하는 방법.Forming vias and conductive lines based on said via openings and said trenches. 제1항에 있어서,The method of claim 1, 상기 비아 개구 및 상기 트렌치를 전도성 물질로 채우는 단계를 더 포함하는 것을 특징으로 하는 방법.Filling the via opening and the trench with a conductive material. 제1항에 있어서,The method of claim 1, 상기 비아 개구 및 상기 트렌치가 형성된 상기 변형가능 물질 층을 마스크로 사용하여 상기 기판과 상기 변형가능 물질 층 사이에 위치하는 물질 층을 패터닝하는 단계를 더 포함하는 것을 특징으로 하는 방법.Patterning a layer of material located between the substrate and the layer of deformable material using the layer of deformable material having the via opening and the trench formed as a mask. 제3항에 있어서,The method of claim 3, 상기 물질 층을 패터닝하는 단계는 공통 이방성 에칭 프로세스로 상기 변형가능 물질 층과 상기 물질 층을 에칭하는 것을 포함하는 것을 특징으로 하는 방법.Patterning the material layer comprises etching the deformable material layer and the material layer in a common anisotropic etching process. 제1항에 있어서,The method of claim 1, 상기 변형가능 물질 층은 대략 3.0 또는 그 이하의 비유전율을 갖는 유전체 물질을 포함하는 것을 특징으로 하는 방법.Wherein the deformable material layer comprises a dielectric material having a relative dielectric constant of approximately 3.0 or less. 제3항에 있어서,The method of claim 3, 상기 변형가능 물질 층은 대략 3.0 또는 그 이하의 비유전율을 갖는 유전체 물질을 포함하는 것을 특징으로 하는 방법.Wherein the deformable material layer comprises a dielectric material having a relative dielectric constant of approximately 3.0 or less. 제2항에 있어서,The method of claim 2, 상기 비아 개구 및 상기 트렌치를 전도성 물질로 채우는 단계 이후에 상기 변형가능 물질 층의 적어도 일부를 제거하는 단계를 더 포함하는 것을 특징으로 하는 방법.Removing at least a portion of the deformable material layer after filling the via opening and the trench with a conductive material. 제7항에 있어서,The method of claim 7, wherein 상기 비아 및 상기 전도성 라인의 노출된 표면 상에 전도성 장벽 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.Forming a conductive barrier layer on the via and the exposed surface of the conductive line. 제1항에 있어서,The method of claim 1, 상기 비아 및 상기 전도성 라인을 형성하는 단계 이전에 상기 비아 개구의 하부로부터 상기 변형가능 물질 층의 잔류물을 제거하는 단계를 더 포함하는 것을 특징으로 하는 방법.Removing residue of the layer of deformable material from the bottom of the via opening prior to forming the via and the conductive line. 제1항에 있어서,The method of claim 1, 상기 비아 및 상기 전도성 라인의 네거티브 이미지를 나타내는 임프린트 몰드를 형성하는 단계와, 그리고 복수의 기판들 위에 제공되는 복수의 변형가능 물질 층들에 상기 비아 개구 및 상기 트렌치를 공통적으로 임프린트하는 단계를 더 포함하는 것을 특징으로 하는 방법.Forming an imprint mold representing a negative image of the via and the conductive line, and commonly imprinting the via opening and the trench in a plurality of layers of deformable material provided over a plurality of substrates; Characterized in that the method. 제10항에 있어서,The method of claim 10, 상기 임프린트 몰드를 형성하는 단계는, 상기 비아 개구 및 상기 트렌치의 상기 네거티브 이미지를 수용하도록 몰드 기판를 패터닝하기 위한 리소그래피 프로세스 및 에칭 프로세스를 수행하는 것을 포함하는 것을 특징으로 하는 방법.Forming the imprint mold comprises performing a lithography process and an etching process to pattern a mold substrate to receive the via opening and the negative image of the trench. 제10항에 있어서,The method of claim 10, 상기 임프린트 몰드는 임프린트 프로세스에 의해 형성되는 것을 특징으로 하는 방법.And the imprint mold is formed by an imprint process. 기판 위에 형성된 변형가능 물질 층에 개구를 임프린트하는 단계와, 여기서 상기 개구는 미세구조 디바이스의 피처에 대응하고, 상기 개구의 하부에 대해 비수 직 배향인 측벽 부분을 구비하며; 그리고Imprinting the opening in a layer of deformable material formed over the substrate, wherein the opening corresponds to a feature of the microstructured device and has sidewall portions that are non-vertical in orientation with respect to the bottom of the opening; And 상기 개구를 토대로 하여 상기 피처를 형성하는 단계를 포함하여 구성되며, 상기 피처는 상기 피처의 하부에 대해 비수직인 측벽 부분을 구비하는 것을 특징으로 하는 방법.Forming the feature based on the opening, wherein the feature has a sidewall portion that is non-perpendicular to the bottom of the feature. 제13항에 있어서,The method of claim 13, 상기 개구를 임프린트하는 단계는 네거티브 형태를 나타내는 임프린트 몰드를 사용하는 것을 포함하고, 상기 네거티브 형태는 상기 네커티브 형태의 하부에 대해 비수직인 측벽 부분을 포함하는 것을 특징으로 하는 방법.Imprinting the opening includes using an imprint mold that exhibits a negative shape, the negative shape comprising a sidewall portion that is non-perpendicular to the bottom of the negative shape. 제13항에 있어서,The method of claim 13, 상기 개구는, 상기 미세구조 디바이스의 금속화 층의 금속 함유 영역들을 형성하기 위한 비아 개구 및 트렌치 중 적어도 하나를 나타내는 것을 특징으로 하는 방법.And said opening represents at least one of a via opening and a trench for forming metal containing regions of a metallization layer of said microstructured device. 제13항에 있어서,The method of claim 13, 상기 피처를 형성하기 위해 상기 개구를 전도성 물질로 채우는 단계를 더 포함하는 것을 특징으로 하는 방법.Filling the opening with a conductive material to form the feature. 제13항에 있어서,The method of claim 13, 상기 변형가능 물질 층을 마스크로 사용하는 이방성 에칭 프로세스에 의해 상기 변형가능 물질 층 아래에 형성된 물질 층을 패터닝하는 단계를 더 포함하는 것을 특징으로 하는 방법.Patterning a layer of material formed under the layer of deformable material by an anisotropic etching process using the layer of deformable material as a mask. 제17항에 있어서,The method of claim 17, 상기 피처는 반도체 디바이스의 분리 트렌치 및 게이트 전극 중 하나를 나타내는 것을 특징으로 하는 방법.Wherein the feature represents one of a isolation trench and a gate electrode of a semiconductor device. 제17항에 있어서,The method of claim 17, 상기 피처는 반도체 디바이스의 금속화 층에 대한 비아 개구 및 트렌치 중 적어도 하나를 나타내는 것을 특징으로 하는 방법.Wherein the feature represents at least one of a via opening and a trench for a metallization layer of a semiconductor device. 반도체 디바이스를 위한 금속화 층을 형성하는 단계와; 그리고Forming a metallization layer for the semiconductor device; And 상기 금속화 층을 기판에 기계적으로 전사하는 단계를 포함하여 구성되며, 여기서 상기 기판 상에는 복수의 회로 소자들이 형성되는 것을 특징으로 하는 방법.And mechanically transferring the metallization layer to a substrate, wherein a plurality of circuit elements are formed on the substrate.
KR1020097002089A 2006-06-30 2007-04-05 A nano imprint technique with increased flexibility with respect to alignment and feature shaping KR101336274B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102006030267A DE102006030267B4 (en) 2006-06-30 2006-06-30 Nano embossing technique with increased flexibility in terms of adjustment and shaping of structural elements
DE102006030267.2 2006-06-30
US11/671,688 US7928004B2 (en) 2006-06-30 2007-02-06 Nano imprint technique with increased flexibility with respect to alignment and feature shaping
US11/671,688 2007-02-06
PCT/US2007/008371 WO2008005087A2 (en) 2006-06-30 2007-04-05 A nano imprint technique with increased flexibility with respect to alignment and feature shaping

Publications (2)

Publication Number Publication Date
KR20090033464A true KR20090033464A (en) 2009-04-03
KR101336274B1 KR101336274B1 (en) 2013-12-03

Family

ID=38776961

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097002089A KR101336274B1 (en) 2006-06-30 2007-04-05 A nano imprint technique with increased flexibility with respect to alignment and feature shaping

Country Status (7)

Country Link
US (2) US7928004B2 (en)
JP (1) JP5244793B2 (en)
KR (1) KR101336274B1 (en)
CN (1) CN101479842B (en)
DE (1) DE102006030267B4 (en)
GB (1) GB2452445A (en)
TW (1) TWI474391B (en)

Families Citing this family (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8466068B2 (en) * 2007-12-31 2013-06-18 Sandisk 3D Llc Methods and apparatus for forming memory lines and vias in three dimensional memory arrays using dual damascene process and imprint lithography
US20100301449A1 (en) * 2007-12-31 2010-12-02 Sandisk 3D Llc Methods and apparatus for forming line and pillar structures for three dimensional memory arrays using a double subtractive process and imprint lithography
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8114787B2 (en) 2009-02-19 2012-02-14 Empire Technology Development Llc Integrated circuit nanowires
US9577642B2 (en) * 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
GB2473200B (en) 2009-09-02 2014-03-05 Pragmatic Printing Ltd Structures comprising planar electronic devices
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
JP2011216808A (en) * 2010-04-02 2011-10-27 Toshiba Mach Co Ltd Transfer device, transfer system, and transfer method
US8293645B2 (en) * 2010-06-30 2012-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming photovoltaic cell
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US8957519B2 (en) * 2010-10-22 2015-02-17 International Business Machines Corporation Structure and metallization process for advanced technology nodes
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US9034233B2 (en) 2010-11-30 2015-05-19 Infineon Technologies Ag Method of processing a substrate
DE102011105679B4 (en) * 2011-06-22 2019-03-28 Nanoscribe Gmbh Method for producing three-dimensional microstructures
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
CN102915950B (en) * 2011-08-03 2014-12-10 中芯国际集成电路制造(上海)有限公司 Method for simultaneously manufacturing through holes and grooves on semiconductor devices
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US20160340215A1 (en) * 2013-02-11 2016-11-24 Artto Aurola A method for treating sulphate-containing waters
US9215798B2 (en) * 2013-03-05 2015-12-15 Eastman Kodak Company Imprinted multi-layer micro-structure method with multi-level stamp
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US9418985B2 (en) 2013-07-16 2016-08-16 Qualcomm Incorporated Complete system-on-chip (SOC) using monolithic three dimensional (3D) integrated circuit (IC) (3DIC) technology
KR102160570B1 (en) 2013-10-02 2020-09-28 삼성전자 주식회사 Method of fabricating a semiconductor device
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
GB2523570A (en) * 2014-02-27 2015-09-02 Agilent Technologies Inc Rigid piston-actuator-assembly supported for performing a pendulum-type tolerance compensation motion
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
JP2017017093A (en) * 2015-06-29 2017-01-19 株式会社東芝 Method of manufacturing semiconductor device
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
CN106548973A (en) * 2015-09-17 2017-03-29 中芯长电半导体(江阴)有限公司 Fan-out-type wafer-level packaging method
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
WO2017053329A1 (en) 2015-09-21 2017-03-30 Monolithic 3D Inc 3d semiconductor device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10056292B2 (en) 2016-11-22 2018-08-21 Globalfoundries Inc. Self-aligned lithographic patterning
US10056291B2 (en) * 2016-11-23 2018-08-21 Globalfoundries Inc. Post spacer self-aligned cuts
CN106647166A (en) * 2016-11-25 2017-05-10 武汉红星杨科技有限公司 Crystal direction alignment device and alignment method of nanometer impressing template
US10163633B2 (en) 2017-03-13 2018-12-25 Globalfoundries Inc. Non-mandrel cut formation
JP7375294B2 (en) * 2017-07-28 2023-11-08 Tdk株式会社 Method for manufacturing conductive substrate, electronic device, and display device
EP3444672A1 (en) * 2017-08-16 2019-02-20 Rhodia Operations Micro-patterning of high conductive polymer
US11281094B2 (en) * 2018-11-15 2022-03-22 Applied Materials, Inc. Method for via formation by micro-imprinting
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
CN110911465B (en) * 2019-11-29 2022-11-25 京东方科技集团股份有限公司 Array substrate, preparation method thereof and display device
US20220390833A1 (en) * 2021-06-03 2022-12-08 Viavi Solutions Inc. Method of replicating a microstructure pattern
CN114695254B (en) * 2022-05-31 2022-09-02 季华实验室 Integrated circuit preparation method

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2233494A (en) * 1989-06-26 1991-01-09 Philips Nv Providing an electrode on a semiconductor device
US5173442A (en) * 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
JPH05160571A (en) * 1991-12-03 1993-06-25 Sharp Corp Method of performing interlayer connection in multilayer conductive circuit board
JPH06267943A (en) * 1993-03-15 1994-09-22 Hitachi Ltd Manufacture of semiconductor device
JP3119021B2 (en) * 1993-04-08 2000-12-18 住友金属工業株式会社 Method for forming contact hole in semiconductor device
JPH08191044A (en) * 1995-01-12 1996-07-23 Mitsubishi Electric Corp Resist pattern forming method
JPH08276597A (en) * 1995-04-04 1996-10-22 Canon Inc Plate-shaped substrate for recording head, recording head using plate-shaped substrate and liquid jet recording apparatus loaded with recording head
JPH118249A (en) * 1997-06-17 1999-01-12 Nippon Telegr & Teleph Corp <Ntt> Manufacture of wiring
JPH11330235A (en) * 1998-05-11 1999-11-30 Sony Corp Method and device for working insulating layer of semiconductor device
DE19930104C1 (en) * 1999-07-01 2001-02-15 Fraunhofer Ges Forschung Method of making an electrode assembly
KR100363642B1 (en) * 1999-11-11 2002-12-05 아남반도체 주식회사 Method for forming contact hole of semiconductor devices
DE10000090A1 (en) * 2000-01-04 2001-08-30 Elfo Ag Sachseln Sachseln Electrical connecting element production method has embossed substrate provided with selectively etched conductive galvanic coating
US6501180B1 (en) 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6423570B1 (en) * 2000-10-18 2002-07-23 Intel Corporation Method to protect an encapsulated die package during back grinding with a solder metallization layer and devices formed thereby
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
JP2003077807A (en) * 2001-09-04 2003-03-14 Matsushita Electric Ind Co Ltd Mold, mold manufacturing method and pattern-forming method
JP2003100753A (en) * 2001-09-27 2003-04-04 Dainippon Screen Mfg Co Ltd Thin film deposition system and thin film deposition method
US7351660B2 (en) * 2001-09-28 2008-04-01 Hrl Laboratories, Llc Process for producing high performance interconnects
WO2003030252A2 (en) * 2001-09-28 2003-04-10 Hrl Laboratories, Llc Process for producing interconnects
JP2003174095A (en) * 2001-12-05 2003-06-20 Matsushita Electric Ind Co Ltd Method of manufacturing capacitance element
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6852454B2 (en) * 2002-06-18 2005-02-08 Freescale Semiconductor, Inc. Multi-tiered lithographic template and method of formation and use
JP3821069B2 (en) 2002-08-01 2006-09-13 株式会社日立製作所 Method for forming structure by transfer pattern
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US20040224261A1 (en) 2003-05-08 2004-11-11 Resnick Douglas J. Unitary dual damascene process using imprint lithography
US7256435B1 (en) * 2003-06-02 2007-08-14 Hewlett-Packard Development Company, L.P. Multilevel imprint lithography
TW200507175A (en) 2003-06-20 2005-02-16 Matsushita Electric Ind Co Ltd Pattern forming method, and manufacturing method for semiconductor device
JP4726789B2 (en) * 2003-09-29 2011-07-20 インターナショナル・ビジネス・マシーンズ・コーポレーション Production method
US7632087B2 (en) * 2003-12-19 2009-12-15 Wd Media, Inc. Composite stamper for imprint lithography
US7060625B2 (en) * 2004-01-27 2006-06-13 Hewlett-Packard Development Company, L.P. Imprint stamp
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US20050250052A1 (en) * 2004-05-10 2005-11-10 Nguyen Khe C Maskless lithography using UV absorbing nano particle
US7148142B1 (en) * 2004-06-23 2006-12-12 Advanced Micro Devices, Inc. System and method for imprint lithography to facilitate dual damascene integration in a single imprint act
US7691275B2 (en) * 2005-02-28 2010-04-06 Board Of Regents, The University Of Texas System Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
US7348272B2 (en) * 2005-08-03 2008-03-25 United Microelectronics Corp. Method of fabricating interconnect
WO2007030527A2 (en) * 2005-09-07 2007-03-15 Toppan Photomasks, Inc. Photomask for the fabrication of a dual damascene structure and method for forming the same
US7468330B2 (en) * 2006-04-05 2008-12-23 International Business Machines Corporation Imprint process using polyhedral oligomeric silsesquioxane based imprint materials

Also Published As

Publication number Publication date
DE102006030267A1 (en) 2008-01-03
KR101336274B1 (en) 2013-12-03
JP5244793B2 (en) 2013-07-24
US8293641B2 (en) 2012-10-23
GB0822570D0 (en) 2009-01-14
US7928004B2 (en) 2011-04-19
JP2009543334A (en) 2009-12-03
DE102006030267B4 (en) 2009-04-16
CN101479842B (en) 2014-09-03
GB2452445A (en) 2009-03-04
TWI474391B (en) 2015-02-21
CN101479842A (en) 2009-07-08
TW200816303A (en) 2008-04-01
US20080003818A1 (en) 2008-01-03
US20110117723A1 (en) 2011-05-19

Similar Documents

Publication Publication Date Title
KR101336274B1 (en) A nano imprint technique with increased flexibility with respect to alignment and feature shaping
CN107112212B (en) Patterning substrates using grafted polymeric materials
KR102436100B1 (en) Method of Forming Etching Masks for Sub-Resolution Substrate Patterning
US10103032B2 (en) Methods of forming etch masks for sub-resolution substrate patterning
US8652763B2 (en) Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
TWI313490B (en) Fabrication method
US7977237B2 (en) Fabricating vias of different size of a semiconductor device by splitting the via patterning process
JP5732395B2 (en) Semiconductor device contacts and vias formed by hard mask and double exposure
KR20160117579A (en) Method for patterning a substrate for planarization
EP1796159B1 (en) Method for manufacturing a semiconductor device by using a dual damascene process
US7718529B2 (en) Inverse self-aligned spacer lithography
US20120266810A1 (en) Planarization system for high wafer topography
KR102230086B1 (en) Sub-resolution Substrate Patterning Method
US20070128823A1 (en) Method of fabricating semiconductor integrated circuit device
US20030003401A1 (en) Technique for the size reduction of vias and other images in semiconductor chips
WO2008005087A2 (en) A nano imprint technique with increased flexibility with respect to alignment and feature shaping
CN110544671A (en) Method for forming semiconductor structure
US7595145B2 (en) Method of forming pattern of semiconductor device
CN112670168B (en) Method for forming semiconductor structure and transistor
US8871649B2 (en) Methods of forming trench/hole type features in a layer of material of an integrated circuit product
US20050282092A1 (en) Method of forming pattern
US20100308438A1 (en) Non-conformal masks, semiconductor device structures including the same, and methods
KR20060066875A (en) Method of forming a contact hole in a semiconductor device
KR20060113279A (en) Manufacturing method for semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171027

Year of fee payment: 5