KR20080066577A - 반도체 기판용 세정 조성물 - Google Patents

반도체 기판용 세정 조성물 Download PDF

Info

Publication number
KR20080066577A
KR20080066577A KR1020080002863A KR20080002863A KR20080066577A KR 20080066577 A KR20080066577 A KR 20080066577A KR 1020080002863 A KR1020080002863 A KR 1020080002863A KR 20080002863 A KR20080002863 A KR 20080002863A KR 20080066577 A KR20080066577 A KR 20080066577A
Authority
KR
South Korea
Prior art keywords
acid
composition
fluoride
substrate
weight percent
Prior art date
Application number
KR1020080002863A
Other languages
English (en)
Other versions
KR100963374B1 (ko
Inventor
아이핑 우
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20080066577A publication Critical patent/KR20080066577A/ko
Application granted granted Critical
Publication of KR100963374B1 publication Critical patent/KR100963374B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/04Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2065Polyhydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

본 발명은 반도체 기판으로부터 원치않는 유기 및 무기 잔류물, 및 오염물을 제거하는데 사용된 반-수성 세정 조성물에 관한 것이다. 본 발명의 세정 조성물은 pKa가 약 5 내지 약 7인 3개 이상의 카르복실산 기를 갖는 다양성자 산을 포함하는 완충 시스템을 포함한다. 상기 조성물은 또한 글리세롤과 같은 다가 용매를 포함한다. 플루오라이드 이온 공급원이 또한 본 발명의 세정 조성물에 포함되는데, 이는 주로 기판으로부터 무기 잔류물을 제거하는 기능을 한다. 본 발명의 세정 조성물은 낮은 독성을 지니며 환경적으로도 허용가능하다.

Description

반도체 기판용 세정 조성물 {CLEANING COMPOSITION FOR SEMICONDUCTOR SUBSTRATES}
본 발명은, 예를 들어 반도체 기판 상의 원치 않는 레지스트 막, 에칭-후 (post-etch) 및 애쉬-후 (post-ash) 잔류물을 제거하는 것을 포함하여 다양한 적용에 사용할 수 있는 세정 조성물을 제공한다.
본 발명의 배경은 집적 회로의 제조를 포함하는 세정 적용에 사용하는 것과 관련하여 설명될 것이다. 그러나, 본 발명의 용도가 이하에 기술된 바와 같이 더욱 넓은 적용가능성을 지님이 이해되어야 한다.
집적 회로의 제조에서, 때때로 가공중인 (in-process) 집적 회로 웨이퍼 상에 위치한 실리콘, 갈륨 아르세나이드, 유리 또는 다른 기판의 표면 상에 침착되거나 그 표면 상에서 성장한 박막에 개구 또는 기타 기하학적 형상을 에칭시킬 필요가 있다. 그러한 집적 회로는 종종 다공성 층간 유전체 (ILD)를 함유한다. 그러한 막을 에칭하는 방법에서, 막은 막의 일부를 제거하기 위해 화학적 에칭제에 노출되어야 한다. 막의 일부를 제거하는데 사용된 구체적인 에칭제는 막의 성질에 따라 다르다. 예를 들어 옥사이드 막의 경우에, 에칭제는 불화수소산일 수 있다. 다결정실리콘 막의 경우에, 에칭제는 전형적으로 불화수소산, 또는 질산과 아세트산의 혼합물일 것이다.
막의 단지 목적하는 부분만을 제거하기 위해서는, 컴퓨터로 밑그림 그려진 광 마스크 내의 패턴이 막의 표면으로 이동되는 포토리소그래피 공정이 이용된다. 마스크는 선택적으로 제거되어야 하는 막의 영역을 인식하도록 제공된다. 이 패턴은 포토레지스트 물질을 사용하여 형성되는데, 이 물질은 가공중인 집적 회로 웨이퍼 상으로 박막으로 방적되며 (spun) 광 마스크를 통해 투사된 고강도 방사선에 노출된 감광성 물질이다. 이의 조성에 따라 다르나 노출되거나 노출되지 않은 포토레지스트 물질은 전형적으로 현상액을 사용하여 용해되며, 이러한 용해에 의해, 선택된 영역에서는 에칭이 일어나고 다른 영역에서는 에칭이 방지되는 패턴이 남게 된다. 예를 들어, 포지티브 유형의 레지스트는 에칭이 일어나는 경우에 바이어스, 트렌치, 콘택트 홀 등이 되게 되는, 기판 상의 패턴을 형성시키는 마스킹 물질로 광범위하게 사용되었다.
예를 들어, 플라즈마 에칭, 반응성 이온 에칭, 또는 이온 밀링과 같은 건식 에칭 공정이, 바이어스, 트렌치, 콘택트 홀 등을 형성시키기 위해 기판의 포토레지스트-비보호된 영역을 부식시키는데 점점 더 많이 사용되고 있다. 플라즈마 에칭 공정의 결과로서, 포토레지스트, 에칭 가스 및 에칭된 물질 부산물이 기판 상의 에칭된 개구의 측벽 상에 또는 이 주위에 잔류물로 침착된다.
상기한 건식 에칭 공정은 또한 전형적으로 레지스트 마스크를 매우 제거하기 어렵게 만든다. 예를 들어, 상호연결 와이어링의 다층의 백 엔드 라인 (back end line)을 구비한 어드밴스드 (advanced) DRAM 및 논리 소자와 같은 복합 반도체 장치에서, 반응성 이온 에칭 (RIE)이, 층간 유전체 중에 바이어스를 생성시켜 한 층의 실리콘, 실리사이드 또는 금속 와이어링에서 다음 층의 와이어링 까지의 접촉을 제공하는데 사용된다. 이러한 바이어스는 전형적으로 Al, AlCu, Cu, Ti, TiN, Ta, TaN, 실리콘 또는 실리사이드, 예컨대 텅스텐, 티타늄 또는 코발트의 실리사이드를 노출시킨다. 상기 RIE 공정은, 예를 들어 재-스퍼터된 옥사이드 물질, 에칭 가스로부터 유래한 고분자 물질, 및 바이어스 형태를 그리는데 사용된 레지스트로부터의 유기 물질을 포함할 수 있는 복합 혼합물을 포함하는 관련된 기판 상에 잔류물을 남긴다.
또한, 에칭 단계를 종결한 후에, 포토레지스트 및 에칭 잔류물을 웨이퍼의 보호된 영역으로부터 제거하여 최종 마무리 작업이 일어날 수 있도록 해야 한다. 이것은, 적합한 플라즈마 애싱 기체를 사용하여 플라즈마 "애싱" 단계로 수행될 수 있다. 이는 전형적으로 고온, 예컨대 200℃ 초과의 온도에서 수행된다. 애싱은 유기 잔류물의 대부분을 휘발성 종으로 전환시키나, 단 기판 상에 무기 잔류물을 주로 남긴다. 그러한 잔류물은 전형적으로 기판 표면 상에 뿐만 아니라 존재할 수 있는 바이어스의 내벽 상에도 남아있다. 결과적으로, 애쉬-처리된 기판은 종종 "액체 스트리핑 조성물"로 전형적으로 지칭되는 세정 조성물로 처리되어 기판으로부터 고도로 점착성인 잔류물을 제거한다. 부정적인 영향, 예를 들어 부식, 용해 또는 둘링 (dulling)없이 상기 잔류물을 제거하기 위한 적합한 세정 조성물을 발견하였음에도 불구하고, 금속 회로가 또한 문제되는 것으로 밝혀졌다. 잔류물을 완전 하게 제거하거나 중화시키지 못하면 회로 와이어링에서 불연속이 나타나거나 전기 저항이 바람직하지 못하게 증가될 수 있다.
종래 세정 조성물은 전형적으로 (a) 불소 이온 공급원, 예컨대 암모늄 플루오라이드; (b) 용매; (c) pH 완충 시스템; 및 임의로 (d) 물을 포함한다. (참조, 예를 들어 US 5,698,503호 (Ward), US 6,821,352호 (Rovito), US 2004/0016904호 (Baum) 및 US 6,773,873호 (Seijo)). 그러나, 선행 기술의 세정 조성물의 제형은 테트라에틸오르쏘실리에이트 (TEOS) 및 금속, 예컨대 구리 및 알루미늄을 상당히 에칭시키거나, 다공성 층간 유전체 물질과 상용성이 있고 세정되는 레지스트와 애쉬 잔류물에 대해 바람직한 pH (즉, 약 6.0의 pH)에서 조절하기 어렵다.
따라서, 다공성 층간 유전체로 구성된 기판을 효과적으로 세정시키나 TEOS, 다공성 낮은-k 유전체 또는 구리와 같은 금속을 상당히 에칭시키지 않는 세정 조성물이 당업계에서 요구되고 있다.
본 발명은 플루라이드 이온 공급원, 적어도 3개의 카르복실 기 및 이의 컨쥬게이트 염기를 갖는 다양성자 산을 포함하는 pH 완충 시스템; 하나 이상의 다가 알콜; 및 물을 포함하는 세정 조성물을 제공한다. 바람직하게는, 상기 다양성자 산은 약 5 내지 약 7의 pKa를 갖는다. 상기 세정 조성물은, 반도체 제조 중의 에칭 또는 애싱 공정 동안에 형성되는, 포토레지스트 및 고분자 잔류물을 포함하는 잔류물을 제거하는데 유용하다. 이러한 세정 조성물은 특히, 예를 들어 TEOS, 다공성 낮은-k 유전체 및/또는 구리를 포함하는 반도체 기판으로부터 상기 잔류물을 제거하는데 유용하다.
따라서, 본 발명의 일반적인 과제는 집적 회로로부터 포토레지스트 및 고분자 잔류물을 제거하는데는 효과적이나, 반도체의 다공성 층간 유전체 물질과 상용성이며, 옥사이드, 및 구리, 알루미늄, 티타늄, 텅스텐 등과 같은 금속에 대해서는 낮은 에칭율을 갖는 반도체 기판 세정 조성물을 제공하는 것이다.
본 출원인은, 놀랍게도 3개 이상의 카르복실산 기 및 이의 컨쥬게이트 염기를 갖는 다양성자 산, 예컨대 시트르산 및 암모늄 시트레이트 삼염기가 다가 용매, 예컨대 글리세롤 중에서 혼화가능함을, 그리고 다양성자 산, 컨쥬게이트된 염기 및 다가 용매가, 플루오라이드 이온 공급원과 배합되고 약 6.2 내지 약 6.4의 pH로 조절되는 경우에, 기판의 구리 층 또는 테트라에틸오르쏘실리에이트 (TEOS) 및 다공성 ILD에 대해 상당한 에칭 효과를 생성시키지 않고 다공성 층간 유전체 기판을 효과적으로 세정시킴을 발견하였다. 이러한 발견은 특히, 통상의 용매, 예컨대 2가물 (즉, 글리세롤) 또는 테트라히드로퍼푸릴 알콜 (THFA)을 사용하여 제형화된 세정 조성물이 에칭되는 구리 및 TEOS에서 훨씬 더 공격적이며 시트르산과 덜 혼화가능하다는 사실을 고려할 때 놀라운 것이다.
따라서, 본 발명의 일 양태는 플루오라이드 이온 공급원; 3개 이상의 카르복실산 기 및 이의 컨쥬게이트 염기를 갖는 다양성자 산을 포함하는 pH 완충 시스템; 하나 이상의 다가 알콜 용매; 및 물을 포함하는, 반도체 기판으로부터 잔류물을 제 거하기 위한 조성물이다.
본 발명의 다른 양태에 따르면,
고분자 또는 포토레지스트 잔류물을 함유하는 반도체 기판을, 플루오라이드 이온 공급원; 3개 이상의 카르복실산 기 및 이의 컨쥬게이트 염기를 갖는 다양성자 산을 포함하는 pH 완충 시스템; 하나 이상의 다가 알콜; 및 물을 포함하는 조성물과 접촉시키고,
상기한 접촉 후에, 기판으로부터 세정 조성물의 일부 또는 전부를 제거하여 코팅 부분의 일부 또는 전부를 효과적으로 제거하는 것을 포함하여, 기판으로부터 포토레지스트 또는 잔류물 코팅을 제거하는 방법이 제공된다.
본 발명은, 반-수성, 산성의 완충된 조성물, 및 반도체 또는 미세전기 장치의 기판 표면으로부터 포토레지스트 및/또는 에칭 또는 애쉬 잔류물을 제거하기 위해 상기 조성물을 사용하는 방법에 관한 것이다. 본원에 사용된 용어 "반-수성"은 물 및 수용성 유기 성분, 예컨대 유기 용매 모두를 포함하는 조성물을 지칭한다. 본 발명의 조성물은 일반적으로, 산성 완충 시스템; 물 및 산성 완충 시스템의 산과 혼화가능한 다가 용매; 플루오라이드 공급원; 및 물을 효과적으로 세정시키는 양으로 포함한다. 바람직하게는, 이들 조성물의 pH는 약 6.0 내지 약 6.6으로 조정된다. 상기 조성물은 또한 포토레지스트 및/또는 에칭 또는 애쉬 잔류물을 제거하기 위해 조성물 중에 전형적으로 사용되는, 당업자에게 공지된 부식 억제제 및/또는 다른 첨가제를 임의로 포함할 수 있다.
A. 완충 시스템:
본 발명의 세정 조성물은 조성물의 pH를, 바람직하게는 약 5.0 내지 7.0, 및 더욱 바람직하게는 약 6.0 내지 약 6.6의 약산성 pH에서 유지하도록 완충 시스템을 포함한다. 이러한 pH 범위를 갖는 세정 조성물은 고도로 무기성인 에칭 잔류물의 제거 및 옥사이드 스키밍 (skimming)에는 효과적이면서, 세정시킬 반도체에 대해서는 전혀 부식 효과를 나타내지 않는다. 즉, 이러한 범위의 pH는 에칭 잔류물의 세정 효능과, 도전성 금속, 예컨대 구리와의 상용성, 및 다공성 낮은-k 층간 유전체 물질, 예컨대 스핀 온 및 CVD 다공성 낮은-k 유전체 및 민감성의 p-도핑되고 치밀화되지 않은 (undensified) TEOS와의 상용성을 바람직하게 조절한다.
완충 시스템은 산 및 이의 컨쥬게이트 염기를 포함한다. 일반적으로 완충 시스템은, 완충제 산과 이의 각각의 컨쥬게이트 염기 사이에 존재하는 양성자 해리 평형의 결과로 pH 안정화 효과를 나타내는 것으로 알려져 있다. 그러한 완충 시스템을 사용하지 않고, 물로의 희석 또는 염기 또는 산에 의한 오염에 의해 조성물의 pH가 너무 높아지거나 너무 낮아져서 세정 및 기판 에칭에서 상당한 변동이 나타나게 될 수 있다. 예를 들어, pH 4.75에서의 반-수성 플루오라이드 스트리퍼는 구리를 현저하게 에칭시키지는 않지만 pH 7.5 이상에서는 구리를 심각한 정도로 에칭시켜 장치 임계 치수에서 허용불가능한 손실을 야기할 수 있다.
조성물의 pH를 용이하게 유지하고 옥사이드 에칭 및/또는 금속 부식에 대한 가능성을 제한하기 위해서, 본 발명의 완충 시스템의 산은 바람직하게는 목적하는 pH (예를 들어, 약 6.2 내지 약 6.4의 pH)의 ±1 유닛 내에서의 pKa 값을 갖는다. 본원에 사용된 pKa (또는 산 해리 상수) 값은 25℃에서 수중에서 산의 해리 수준에 상응하며, 이는 산의 강도 또는 해리 정도에 대한 척도이다. 약산에 있어서, 해리되지 않은 산의 농도가 산의 음이온 농도와 동일한 경우에는 pKa가 pH와 같을 것이다.
예를 들어 6.4의 목적하는 pH를 유지하기 위해서는, 완충 시스템이 산, 예컨대 약 6.40의 pK3을 갖는 시트르산을 포함할 수 있다. 바람직하게는, 완충 시스템의 산은 약 5 내지 약 7, 더욱 바람직하게는 약 6.0 내지 약 6.6의 pKa 값을 갖는다.
완충 시스템에 대한 바람직한 산은 3개 이상의 카르복실산 기를 갖는 다양성자 산이다. 상기한 산은 적어도 제 2 및 제 3의 해리 상수를 지니는데, 이들 각각은 이의 각각의 선행하는 상수보다 더 높다. 이는, 제 1 양성자가 유일한 음 전하의 이온으로부터 분리되는 반면, 제 2 양성자는 2개의 음 전하의 이온으로부터 분리되기 때문에, 산이 제 2 양성자보다 더욱 용이하게 제 1 양성자를 상실함을 나타낸다. 일반적으로 2개의 음전하가 양성자를 산 이온으로 거꾸로 강력하게 유인함이 알려져 있다. 유사한 관계가 제 2 및 제 3의 분리된 양성자 사이에도 존재한다. 따라서, 다양성자 산, 예컨대 3개 이상의 카르복실산 기를 갖는 다양성자 산은 용액의 pH를 특히 더욱 높은 pKa 값에 상응하는 pH로 조절하는데 유용하다. 그러므로, 약 5 내지 약 7의 pKa를 갖는 것 이외에도, 본 발명의 바람직한 다양성자 산은 다중 pKa 값을 지니는데, 가장 높은 pKa는 약 5 내지 약 7이다.
본 발명에 따른 3개 이상의 카르복실산 기를 갖는 다양성자 산은 다가 용매 와 상용성이 매우 높다. 바람직한 다양성자 산의 예에는 트리카르복실산 (예를 들어, 시트르산, 2-메틸프로판-1,2,3-트리스카르복실, 벤젠-1,2,3-트리카르복실 [헤미멜리트], 프로판-1,2,3-트리카르복실 [트리카르발릴], 1,시스-2,3-프로펜트리카르복실산 [아코니트] 등), 테트라카르복실산 (예를 들어, 부탄-1,2,3,4-테트라카르복실, 시클로펜탄테트라-1,2,3,4-카르복실, 벤젠-1,2,4,5-테트라카르복실 [피로멜리트], 등), 펜타카르복실산 (예를 들어, 벤젠펜타카르복실) 및 헥사카르복실산 (예를 들어, 벤젠헥사카르복실 [멜리트]) 등이 포함된다. 이들 산의 각각의 pKa가 하기 표 1에 제공되어 있다. 특히 바람직한 다양성자 산에는 트리카르복실산이 포함되며, 가장 바람직한 것은 시트르산이다.
표 1
25℃에서 pKa 값
시트르산 2-메틸프로판-1,2,3-트리스카르복실 벤젠-1,2,3-트리카르복실 (헤미멜리트) 프로판-1,2,3-트리카르복실 (트리카르발릴) 1,시스-2,3-프로펜트리카르복실산 (아코니트) 부탄-1,2,3,4-테트라카르복실 시클로펜탄테트라-1,2,3,4-카르복실 벤젠-1,2,4,5-테트라카르복실 (피로멜리트) 벤젠펜타카르복실 벤젠헥사카르복실 (멜리트) pK1 pK2 pK3 pK4 pK5 pK6 3.13 4.76 6.40 3.53 5.02 7.20 2.98 4.25 5.87 3.67 4.84 6.20 3.04 4.25 5.89 3.36 4.38 5.45 6.63 3.07 4.48 5.57 10.06 2.43 3.13 4.44 5.61 2.34 2.95 3.94 5.07 6.25 2.08 2.46 3.24 4.44 5.50 6.59
바람직한 다양성자 산인 시트르산은, 트리하이드로젠시트레이트 이온, 디하이드로젠시트레이트 이온, 및 모노하이드로젠 시트레이트 이온에 상응하는 3개의 pKa 값, 즉 3.13, 4.76 및 6.40을 갖는 트리카르복실산이다. 본 발명의 바람직한 특정의 구체예에서, 완충 시스템은 시트르산의 염을 포함하며, 특히 바람직한 완충제는 암모늄 시트레이트 삼염기 및 시트르산의 수용액을 포함한다.
완충 시스템을 제조하는 방법은 당업계에 널리 공지되어 있다. 목적하는 pH를 달성하기 위해서는, 완충 시스템이 전형적으로 한 단계 방식으로 세정 조성물에 첨가된다.
바람직한 완충 시스템은 시트르산 및 암모늄 시트레이트 삼염기의 반-수성 용액을 약 1:1 내지 약 1:20, 및 더욱 바람직하게는 약 1:1 내지 약 1:10의 비로 포함한다. 바람직하게는, 세정 조성물은 시트르산 및 암모늄 시트레이트 삼염기를 약 6.0 내지 6.6의 조성물 pH를 생성시키고 이 pH를 유지하는데 효과적인 양으로 포함한다. 특정의 바람직한 구체예에서, 세정 조성물은 약 0.1 내지 약 10중량%의 시트르산의 29% 용액, 및 약 0.1 내지 약 40중량%의 암모늄 시트레이트 삼염기의 50% 용액, 또는 이의 화학양론적 등가물을 포함한다. 더욱 바람직하게는, 세정 조성물은 약 0.5 내지 약 1 중량%의 시트르산의 29% 용액, 및 약 3.2 내지 약 6.4중량%의 암모늄 시트레이트 삼염기의 50% 용액을 포함한다.
B. 용매:
본원에 사용된 용어 다가 알콜은 3개 이상의 히드록실 기를 함유하는 화합물을 의미한다. 3개의 히드록실 기를 갖는 다가 알콜은 3가 알콜 (이는 또한 글리세롤 또는 글리세린이라 지칭되기도 함)이라 지칭된다. 본 발명에 사용하기 위한 다가 용매는 바람직하게는 물 및 완충 시스템의 산/컨쥬게이트 염기와 혼화성이 매우 높다.
특히 바람직한 다가 용매는 글리세롤이다. 본 출원인은, 글리세롤이 바람직한 시트르산/암모늄 시트레이트 삼염기 완충제와 혼화가능할 뿐만 아니라, 글리세 롤 (즉, 2가 알콜)과 같은 통상의 용매 및 테트라하이드로젠 퍼푸릴 알콜 (THFA)과 같은 다른 알콜에 대해 높은 수소 결합 능력을 지니고 있음을 발견하였다. 본 발명에 따른 세정 조성물 내로 혼입되는 경우에, 글리세롤의 높은 수소 결합 특성은 구리와 같은 민감한 금속, 및 TEOS 및 다공성의 낮은-k 유전체와 같은 실리콘 옥사이드를 에칭시키는 조성물의 성향을 최소화시킨다. 따라서, 특정의 바람직한 구체예에서, 용매는 글리세롤을 함유할 뿐 아니라 1가 및 2가 알콜을 실질적으로 함유하지 않는다.
특정 구체예에서, 세정 조성물은 약 20 내지 약 99중량%의 글리세롤, 더욱 바람직하게는 약 25 내지 약 50중량%의 글리세롤, 및 더욱 더 바람직하게는 약 30 내지 약 45중량%의 글리세롤을 포함한다.
C. 플루오라이드 이온 공급원:
본 발명의 세정 조성물은 또한 플루오라이드 이온의 하나 이상의 공급원을 포함한다. 플루오라이드 이온은 주로 기판으로부터 무기 잔류물의 제거를 보조하는 기능을 한다. 본 발명에 따른 플루라이드 이온 공급원을 제공하는 전형적인 화합물은 불화수소산 및 이의 염, 암모늄 플루오라이드, 4차 암모늄 플루오라이드, 예컨대 테트라메틸암모늄 플루오라이드 및 테트라부틸암모늄 플루오라이드, 플루오로보레이트, 플루오로붕산, 테트라부틸암모늄 테트라플루오로보레이트, 및 알루미늄 헥사플루오라이드이다. 지방족 1차, 2차 또는 3차 아민의 플루오라이드 염이 사용될 수 있다. 그러한 아민의 예로는 하기 화학식을 갖는 것들이 있다:
R 1 NR 2 R 3 R 4 F
상기 식에서, R1, R2, R3 및 R4는 각각 H 또는 (C1-C4) 알킬 기를 나타낸다. 전형적으로, R1, R2, R3 및 R4 기에서 탄소 원자의 총 수는 12개 이하이다.
플루오라이드 이온 공급원의 선택에 있어서, 공급원이 세정시킬 표면에 부정적인 영향을 미치게 될 이온을 방출하지 않는 지에 대해 고려해야 한다. 예를 들어, 세정되는 반도체 부품에서, 세정 조성물 중의 나트륨 또는 칼슘 이온의 존재는 부품 표면에 부정적인 영향을 미칠 수 있다. 바람직한 일 구체예에서, 플루오라이드 이온 공급원은 암모늄 플루오라이드이다.
일반적으로 세정 조성물 중에서 플루오라이드 이온 공급원으로 사용된 화합물의 양은 대부분의 적용에 대해 약 0.1 내지 약 10중량%의 40% 암모늄 플루오라이드 용액, 또는 이의 화학양론적 등가물을 포함할 것이다. 바람직하게는, 상기 화합물은 약 0.1 내지 약 3중량%, 및 가장 바람직하게는 약 1.0 내지 약 2.5중량%의 약 40% 암모늄 플루오라이드 용액을 포함한다. 그러나, 사용된 플루오라이드 이온의 양은 전형적으로 세정시킬 구체적인 기판에 따라 달라질 것임이 이해되어야 한다. 예를 들어, 특정의 세정 적용에서, 플루오라이드 이온의 양은 세정되는 기판이 플루오라이드 에칭에 대해 높은 저항성을 갖는 유전체 물질을 포함하는 경우에 상대적으로 많을 수 있다. 반대로, 다른 적용에서, 플루오라이드 이온의 양은, 예를 들어 세정되는 기판이 플루오라이드 에칭에 대해 낮은 저항성을 갖는 유전체 물 질을 포함하는 경우에는 상대적으로 적어야 한다.
D. 물
본 발명의 세정 조성물은 수용성이므로, 물을 포함한다. 본 발명에서, 물은 예를 들어 조성물의 하나 이상의 고체 성분을 용해시키고, 성분의 담체로서, 무기 잔류물의 제거에서 세정제로서, 조성물의 점도 개질제로서, 그리고 희석제로서와 같은 다양한 방식으로 작용한다. 바람직하게는, 세정 조성물에 사용된 물은 탈이온 (DI)수이다.
일반적으로 대부분의 적용에 대해, 세정 조성물은 예를 들어 약 30 내지 약 90중량%의 물을 포함할 것이다. 본 발명의 다른 바람직한 구체예는 약 40 내지 약 70중량%의 물을 포함할 수 있다. 본 발명의 더욱 다른 바람직한 구체예는 약 45 내지 약 65중량%의 물을 포함할 수 있다. 본 발명의 바람직한 더욱 다른 구체예는 다른 성분의 목적하는 중량%를 달성하는 양으로 물을 포함할 수 있다.
E. 임의적 성분:
본 발명의 세정 조성물은 또한 하기 첨가제 중 하나 이상을 포함할 수 있다: 부식 억제제, 계면활성제, 킬레이트제, 화학적 개질제, 염료, 살생물제 및 다른 첨가제. 상기 첨가제들은 조성물의 pH 범위에 부정적인 영향을 미치지 않는 정도로 첨가될 수 있다.
부식 억제제가 본 발명의 조성물에 첨가될 수 있다. 부식 억제제는 세정시킬 기판 표면과 반응하도록 제공되는데, 상기 기판은 금속, 특히 구리, 또는 표면을 패시베이트 (passivate)시키고 세정 동안 과도한 에칭이 이루어지는 것을 방지 하도록 비금속일 수 있다. 특히 그리고 임의의 특정 이론에 결부시키지 않더라도, 부식 억제제는, 구리 표면 상에 불용성 킬레이트 화합물의 코팅을 형성시키며, 이에 따라 포토레지스트 잔류물 제거 성분과 금속 사이의 접촉을 억제함으로써 부식을 방지한다.
본원에 참고로 포함되는 미국 특허 제 5,417,877호에 개시된 것과 같은, 유사한 적용을 위해 당업계에 공지된 임의의 부식 억제제가 사용될 수 있다. 부식 억제제의 사용은 특히 조성물이 금속 기판을 세정시키는데 사용되는 경우에 바람직하다. 부식 억제제의 예에는 방향족 히드록실 화합물, 아세틸렌계 알콜, 카르복실 기 함유 유기 화합물 및 이의 무수물, 및 트리아졸 화합물이 포함된다.
예시적인 방향족 히드록실 화합물에는 페놀, 크레졸, 크실레놀, 피로카테콜, 레졸시놀, 히드로퀴논, 피로갈롤, 1,2,4-벤젠트리올, 살리실 알콜, p-히드록시벤질 알콜, o-히드록시벤질 알콜, p- 히드록시페네틸 알콜, p-아미노페놀, m-아미노페놀, 디아미노페놀, 아미노 레졸시놀, p-히드록시벤조산, o-히드록시벤조산, 2,4-디히드록시벤조산, 2,5-디히드록시벤조산, 3,4-디히드록시벤조산 및 3,5-디히드록시벤조산이 포함된다.
예시적인 아세틸렌계 알콜에는 2-부틴-1,4-디올, 3,5-디메틸-1-헥신-3-올, 2-메틸-3-부틴-2-올, 3-메틸-1-펜틴-3-올, 3,6-디메틸-4-옥틴-3,6-디올, 2,4-7,9-테트라메틸-5-데신-4,7-디올 및 2,5-디메틸-3-헥신-2,5-디올이 포함된다.
예시적인 카르복실 기 함유 유기 화합물 및 이의 무수물에는 포름산, 아세트산, 프로피온산, 부티르산, 이소부티르산, 옥살산, 말론산, 숙신산, 글루타르산, 말레산, 푸마르산, 벤조산, 프탈산, 1,2,3-벤젠트리카르복실산, 글리콜산, 락트산, 말산, 아세트산 무수물 및 살리실산이 포함된다.
예시적인 트리아졸 화합물에는 벤조트리아졸, o-톨릴트리아졸, m-톨릴트리아졸, p-톨릴트리아졸, 카르복시벤조트리아졸, 1-히드록시벤조트리아졸, 니트로벤조트리아졸 및 디히드록시프로필벤조트리아졸이 포함된다.
바람직한 억제제는 카테콜, 갈산, 벤조트리아졸, 피로갈롤, 4-메틸 카테콜 푸마르산 및 디에틸히드록실아민 (DEHA)이며; 벤조트리아졸 이외의 억제제가 구리를 포함하는 기판을 세정시키는 경우에 사용되는 것이 바람직한데, 그 이유는 벤조트리아졸이 구리에 결합하는 경향이 있기 때문이다.
일반적으로 대부분의 적용에서 부식 억제제는 조성물의 약 0.1 내지 약 15중량%를 포함할 것이며; 바람직하게는 조성물의 약 0.1 내지 약 10중량%를 포함하며, 가장 바람직하게는 조성물의 약 0.5 내지 약 5중량%를 포함한다.
세정 조성물에 사용될 수 있는 다른 임의적 성분은 금속 킬레이트제이다; 이 킬레이트제는 용액 중에 금속을 유지시키고 금속 잔류물의 용해를 증진시키는 조성물의 능력을 증가시키도록 작용할 수 있다. 이러한 목적으로 유용한 킬레이트제의 전형적인 예로는 하기와 같은 유기 산, 및 이의 이성질체 및 염이 있다: 에틸렌디아민테트라아세트산 (EDTA), 부틸렌디아민테트라아세트산, (1,2-시클로헥실렌디아민)테트라아세트산 (CyDTA), 디에틸렌트리아민펜타아세트산 (DETPA), 에틸렌디아민테트라프로피온산, (히드록시에틸)에틸렌디아민트리아세트산 (HEDTA), N,N,N',N'-에틸렌디아민테트라(메틸렌포스폰)산 (EDTMP), 트리에틸렌테트라민헥사아세트산 (TTHA), 1,3-디아미노-2-히드록시프로판-N,N,N',N'-테트라아세트산 (DHPTA), 메틸이미노디아세트산, 프로필렌디아민테트라아세트산, 니트로트리아세트산 (NTA), 시트르산, 타르타르산, 글루콘산, 사카린산, 글리세르산, 옥살산, 프탈산, 말레산, 만델산, 말론산, 락트산, 살리실산, 카테콜, 갈산, 프로필 갈레이트, 피로갈롤, 8-히드록시퀴놀린, 및 시스테인. 바람직한 킬레이트제는 아미노카르복실산, 예컨대 EDTA, CyDTA, 및 아미노포스폰산, 예컨대 EDTMP이다.
일반적으로 대부분의 적용에서, 킬레이트제는 조성물의 약 0.1 내지 약 10중량%, 바람직하게는 조성물의 약 0.5 내지 약 5중량%의 양으로 조성물 중에 존재할 것이다.
예컨대 염료, 살생물제 등과 같은 다른 일반적으로 공지된 성분이 통상적인 양, 예를 들어 조성물의 약 5중량% 이하의 총량으로 세정 조성물 중에 포함될 수 있다.
본 발명의 세정 조성물은 전형적으로, 모든 고형물이 수용성 매질 중에 용해될 때까지 실온에서 용기 중에서 성분들을 함께 혼합시킴으로써 제조된다.
F. 세정 방법:
본 발명의 세정 조성물은 기판으로부터 목적하지 않는 잔류물을 제거하는데 사용될 수 있다. 바람직하게는, 상기 조성물은 반도체 제조 공정 중에 침착되거나 형성되는 잔류물을 반도체 기판으로부터 세정시키는데 사용된다. 그러한 잔류물의 예에는 막 형태의 레지스트 조성물 (포지티브 및 네거티브 모두의), 건식 에칭 동안 형성된 에칭 침착물, 및 화학적으로 분해된 레지스트 막이 포함된다. 조성물의 사용은, 제거할 잔류물이, 다공성의 층간 유전체 물질로 구성되고 금속 막-노출된 표면을 지니는 반도체 기판 상의 레지스트 막 및/또는 에칭 및/또는 애싱 침착물인 경우에 특히 효과적이다. 기판 자체를 손상시키지 않고 본 발명의 조성물을 사용하여 세정할 수 있는 기판의 예에는 금속 기판, 예를 들어 구리, 구리 합금, 알루미늄, 알루미늄 합금, 티타늄, 티타늄 니트라이드, 탄탈륨, 탄탈륨 니트라이드, 텅스텐, 및 티타늄/텅스텐, 실리콘 니트라이드 및 갈륨 아르세나이드가 포함된다. 그러한 기판은 전형적으로 포토레지스트 및/또는 에칭-후 및/또는 애싱-후 침착물을 포함하는 잔류물을 포함한다.
금속 막의 노출된 표면을 갖는 반도체 웨이퍼 상에서 레지스트 막 및/또는 에칭 잔류물을 제거하는 경우에 효과적인 것 이외에도, 본 발명의 세정 조성물은, 금속 막이 구리, 또는 주성분으로 구리를 함유하는 구리 합금으로 제조되는 경우, 및 저-유전율의 막이 층간 절연 막으로 사용되는 경우에 특히 효과적이다.
세정 조성물은 부식 효과를 거의 지니지 않으면서 상대적으로 저온에서 반도체 기판으로부터 에칭-후 및 애쉬 잔류물, 다른 유기 및 무기 잔류물, 및 고분자 잔류물을 제거하는데 사용될 수 있다. 세정 조성물은 목적하는 세정 효과를 얻기에 충분한 시간 동안 표면에 적용되어야 한다. 상기한 시간은, 예를 들어 잔류물의 성질, 세정 조성물의 온도, 및 사용된 구체적인 세정 조성물을 포함하는 다양한 인자에 따라 달라질 것이다. 일반적으로, 세정 조성물은, 예를 들어 약 25 내지 약 85℃의 온도에서 약 1분 내지 약 1시간 범위 내의 시간 동안 기판을 접촉시킨 다음, 기판으로부터 세정 조성물을 헹궈 내고, 기판을 건조시킴에 의해 사용될 수 있다.
접촉 단계는 임의의 적합한 수단, 예를 들어 침지, 분무 또는 단일 웨이퍼 공정과 같은 임의의 적합한 수단에 의해 수행될 수 있고; 포토레지스트, 애쉬 또는 에칭 잔류물 및/또는 오염물의 제거를 위한 액체를 사용하는 임의의 방법이 사용될 수 있다.
헹굼 단계는 임의의 적합한 수단, 예를 들어 침지 또는 분무 기술에 의해 탈이온수로 기판을 헹굼으로써 수행된다.
건조 단계는 임의의 적합한 수단, 예를 들어 이소프로필 알콜 (IPA) 증기 건조 또는 질소 송풍 건조에 의해 수행된다.
본 발명의 세정 조성물이, 제조 공정 중에 높은 처리량의 세정이 유지될 수 있도록 기판을 손상시키지 않고 최적의 세정이 얻어지게끔 개질될 수 있음이 당업자에게 이해될 것이다. 예를 들어, 당업자는, 예를 들어 성분의 일부 또는 전부의 양에 대한 개질이 세정시킬 기판의 조성, 제거할 잔류물의 성질, 및 사용된 구체적인 공정 파라미터에 따라 다르게 이루어질 수 있음을 이해할 것이다.
본 발명을 세정되는 반도체 기판과 관련하여 주로 기술하였지만, 본 발명의 세정 조성물은 유기 및 무기 잔류물을 포함하는 임의의 기판을 세정시키는데 사용할 수 있다.
실시예
하기 실시예는 본 발명을 추가로 예시하기 위해 제공된 것으로, 본 발명을 이들 실시예로 제한하려는 것이 아니다.
실시예 1 내지 5, 및 비교예 A 내지 F:
이들 실시예로부터, 본 발명에 따른 세정 조성물 (다가 알콜 용매 중에 다양성자 산 및 완충 시스템을 포함하는 세정 조성물)의 특정 구체예에 대한 효과적인 Cu 에칭율을 입증한다. 비교를 위해, 디카르복실산 완충 시스템을 갖는 특정 세정 조성물의 에칭율이 나타나 있다.
실시예 1 내지 5에 기재된 세정 조성물을, 다가 알콜 용매 (글리세롤, 또는 글리세롤과 THFA의 혼합물), 탈이온수, 트리카르복실산 (시트르산 29중량%의 수용액), 염기 (암모늄 시트레이트 삼염기의 50중량% 수용액), 및 플루오라이드 이온 공급원 (암모늄 플루오라이드의 40중량% 수용액)을 실온에서 표 A에서 확인된 비로 혼합시킴으로써 개별적으로 제조하였다. 이들 세정 용액은 본 발명의 다양한 구체예를 나타낸다.
부가적인 세정 조성물 (비교예 A 내지 F)을 표 B에 기재된 바와 같이 제조하였다. 실시예 1 내지 5의 세정 조성물과는 대조적으로, 비교예의 세정 조성물은 디카르복실산 완충 시스템 (즉, 아디프산 또는 말레산, 및 암모늄 히드록사이드 또는 모노에탄올아민) 및, 일부 경우에는 비-다가 알콜 용매 (디메틸아세트아미드)를 포함한다.
블랭킷 Cu 웨이퍼의 쿠폰을 입수하고, 크리에이티브 디자인 엔지니어링, 인코포레이티드 (Creative Design Engineering, Inc.) 제품인 레스맵 (ResMap)TM 모델 273 저항률 장치를 사용하여 금속 층의 저항률을 측정함으로써 금속 층 두께를 측 정하였다. 실시예 1 내지 5 및 비교예 A 내지 F의 각 세정 조성물의 제 1 및 제 2 쿠폰을 선택한 다음, 이것을 각각 5분 및 20분 동안 25℃에서 상기 조성물 중에 침지시켰다. 특정된 시간이 경과한 후에, 쿠폰들을 조성물로부터 제거하고, 탈이온수로 헹구고 건조시킨 다음, 금속 층의 두께를 다시 측정하였다. 옹스트롬 단위의 Cu 두께에서의 변화를 측정하였는데, 이것은 각각 표 A 및 B의 실시예 및 비교예에 기재되어 있다.
표 A에 표시되어 있듯이, 글리세롤 중에 트리카르복실산 완충 시스템을 포함하는 세정 조성물은 25℃에서 5분 동안의 침지 후에 5 내지 12 옹스트롬의 Cu 에칭 깊이를 나타낸다. 글리세롤/THFA 중에 트리카르복실산 완충 시스템을 포함하는 세정 조성물은 유사 조건 하에서 16 옹스트롬의 Cu 에칭 깊이를 나타낸다. 한편, 디카르복실산 완충 시스템은 25℃에서 5분 동안의 침지 후에 17 내지 53 옹스트롬의 Cu 에칭 깊이를 나타냈다 (표 B). 이들 결과는, 트리카르복실산 완충 시스템 (예를 들어, 시트르산 및 이의 컨쥬게이트 염기)이 디카르복실산 완충 시스템과 비교하여 더욱 낮은 에칭율을 나타내며, 이에 따라 세정 조성물에 대해 더욱 적합함을 입증한다.
표 A
Figure 112008002025955-PAT00001
표 B
Figure 112008002025955-PAT00002
실시예 6 내지 8:
이들 실시예는 본 발명에 따른 세정 조성물의 세정 효능을 입증한다.
표 C에 기재된 세정 조성물을, 실시예 1 내지 5의 세정 조성물을 제조하는데 사용된 것과 유사한 방식으로 제조하였다.
세정시킬 기판은 실리콘 기판 상에 코팅된 TEOS 및 Coral ULK 층을 포함하였다. 이 기판에 트렌치 패턴을 형성시키기 위해 후-에칭 및 애싱 처리를 실시하였다.
세정 공정은, 600 rpm로 설정된 1/2 인치의 둥근 테플론 교반 바가 설치된 400 ml 비이커 중에 위치한, 하기 표 C에 기재된 각각의 세정 조성물 300 ml를 사용하여 수행하였다. 세정 조성물을 표 C에 기재된 바와 같이 25℃ 또는 40℃에서 유지하였다. 대략 1/2인치 × 1/2인치 크기의 웨이퍼 단편을 표 C에 기재된 바와 같이 3분 또는 10분 동안 목적하는 온도에서 조성물 중에 침지시켰다.
이후, 단편을 탈이온수 오버플로우 수조 중에서 3분 동안 헹구고, 후속하여 여과된 질소를 사용하여 건조하였다. 이후, 이들의 세정도를 SEM 현미경을 사용하여 분석하였다. 또한, 세정 조성물의 층간 유전체 물질과의 상용성도 확인하였다.
시험 결과로부터, 본 발명의 세정 용액이 기판의 층간 유전체 (ILD)를 손상시키지 않고 기판을 효과적으로 세정시킬 수 있음을 알 수 있다.
표 C
Figure 112008002025955-PAT00003
실시예 9 내지 14:
이들 실시예는, 본 발명의 특정 세정 조성물의 구체예에 의해, 인 도핑되고 치밀화되지 않은 테트라에틸오르쏘실리케이트 (TEOS), 및 다공성 디에톡시메틸실란 (PDEMS®)의 효과적인 에칭율을 입증한다.
표 D에 기재된 세정 조성물을, 실시예 1 내지 5의 세정 조성물을 제조하는데 사용된 방법과 유사한 방식으로 제조하였다.
도핑되고 치밀화되지 않은 블랭킷 TEOS 웨이퍼, 및 에어 프로덕츠 앤드 케미컬스, 인코포레이티드 (Airproducts and Chemicals, Inc.)에 의해 공급된 다공성 CVD 낮은-k (k = 2.5) 막인 PDEMS® 2.5 웨이퍼의 쿠폰을 입수하고, 이들의 블랭킷 두께를 FilmTek 2000 SE 분광분석용 엘립소미터 (Ellipsometer)/반사계 (Reflectometer)를 사용하여 측정하였다. 개별 TEOS 쿠폰, PDEMS® 쿠폰 및 Cu 쿠폰을 25℃의 온도에서 각각의 세정 조성물 중에 침지시켰다. 5, 10, 20, 40 및 60분의 노광 간격에서, 쿠폰들을 기판으로부터 제거하고, 탈이온수로 헹군 다음, 건조시키고, 블랭킷 층의 두께를 다시 측정하였다. 두께 측정 전에, TEOS 및 PDEMS® 쿠폰을 110℃의 온도에서 대략 10분 동안 베이킹시켰다. 각각의 예시적인 조성에 대해, 각각의 시간 간격에서 측정된 두께 측정치를 "리스트 스퀘어스 핏 (least squares fit)" 모델을 사용하여 그래프화하였다. 각각의 조성물의 "리스트 스퀘어스 핏" 모델의 계산된 경사값은 표 D에서 옹스트롬/분 (Å/min)으로 제공된 에칭율의 결과치이다.
이러한 시험 결과로부터, 본 발명의 세정 조성물이 25℃에서 1 내지 2Å/min의 TEOS 에칭율 및 Cu 에칭율, 및 25℃에서 1 Å/min 미만의 PDEMS® 에칭율을 나타냄을 알 수 있는데, 상기 에칭율들은 반도체 세정 공정에 대해 허용되는 것이다.
표 D
Figure 112008002025955-PAT00004
실시예 15 및 비교예 G 내지 L:
이들 실시예는, 다가 용매 (즉, 글리콜) 중의 트리카르복실산 완충 시스템 (시트르산/암모늄 시트레이트 삼염기)에 대한 효과적인 Cu 및 TEOS 에칭율, 및 비교를 위해 2가 용매 (즉, 다양한 글리콜) 및 테트라하이드로젠 퍼푸릴 알콜 (THFA) 중의 동일한 트리카르복실산 완충 시스템에 대해 효과적인 Cu 및 TEOS 에칭율을 입증한다.
실시예 15 및 비교예 G 내지 L에 기재된 세정 조성물을, 실시예 1 내지 5의 세정 조성물을 제조하는데 사용된 방법과 유사한 방식으로 제조하였다.
쿠폰들을 TEOS 및 Cu 층 두께에 대해 측정하였다. 표시된 세정 조성물 각각에 대한 쿠폰을 25℃에서 60분 동안 그 조성물 중에 침지시켰다. 이후, 쿠폰들을 조성물로부터 제거하고, 탈이온수로 헹구고, 건조시키고, TEOS 층 및 Cu 층의 두께를 다시 측정하였다. Cu 두께 및 TEOS 두께에서의 변화 (옹스트롬 단위)를 측정하였는데, 이것은 하기 표 E에 기재되어 있다. 각 용매 (인용 문헌으로부터 인용된)의 수소 결합 능력 (이를 또한 ΔH로 칭함)이 또한 하기 표 E에 주어져 있다.
표 E에 기재된 데이터로부터, 비-다가 용매와 비교하여, 글리세롤이 예상밖으로 시트르산을 포함하는 세정 조성물에서 최상의 용해도 (높은 수소 결합 능력- 즉, 더욱 높은 ΔH-에 의해 정의됨)를 제공함이 입증된다. 또한, 비-다가 용매와 비교하여, 글리세롤을 포함하는 세정 조성물은 예상 밖으로 구리 및 TEOS를 포함하는 기판에 대해 최소한의 에칭 효과를 나타낸다.
표 E
Figure 112008002025955-PAT00005
표 E (연속됨)
Figure 112008002025955-PAT00006
상기 실시예 및 바람직한 구체예의 설명은 본 발명을 제한하기보다는 예시로 간주되어야 하며, 본 발명의 범위는 청구범위에 의해 정의된다. 청구범위에 정의된 본 발명으로부터 벗어나지 않고 상기 열거된 특징에 대한 다수의 변형 및 조합이 이루어질 수 있음이 용이하게 이해될 것이다. 그러한 변형은 본 발명의 사상 및 범주로부터 벗어나는 것으로 간주되지 아니하며, 그러한 변형 모두는 하기되는 청구범위의 범주 내에 포함되어야 한다.

Claims (22)

  1. 반도체 기판으로부터 잔류물을 제거하기 위한 조성물로서,
    a. 플루오라이드 이온 공급원;
    b. 3개 이상의 카르복실산 기 및 이의 컨쥬게이트 염기를 갖는 다양성자 산 (polyprotic acid)을 포함하는 pH 완충 시스템;
    c. 하나 이상의 다가 알콜을 갖는 용매; 및
    d. 물을 포함하는 조성물.
  2. 제 1항에 있어서, 다양성자 산이 약 5 내지 약 7의 pKa를 갖는 조성물.
  3. 제 2항에 있어서, pKa 값이 약 6.0 내지 약 6.6인 조성물.
  4. 제 1항에 있어서, 약 6.2 내지 약 6.4의 pKa를 갖는 조성물.
  5. 제 1항에 있어서, 다양성자 산이 트리카르복실산인 조성물.
  6. 제 5항에 있어서, 트리카르복실산이 시트르산인 조성물.
  7. 제 1항에 있어서, 다가 알콜이 글리세롤인 조성물.
  8. 제 1항에 있어서, 용매가 1가 및 2가 알콜을 실질적으로 함유하지 않는 조성물.
  9. 제 6항에 있어서, 컨쥬게이트 염기가 암모늄 시트레이트 삼염기인 조성물.
  10. 제 1항에 있어서, 플루오라이드 이온 공급원이, 암모늄 플루오라이드, 불화수소산, 테트라메틸암모늄 플루오라이드, 테트라부틸암모늄 플루오라이드, 플루오로보레이트, 플루오로붕산, 알루미늄 헥사플루오라이드, 메틸아민 히드로플루오라이드, 에틸아민 히드로플루오라이드, 프로필아민 히드로플루오라이드, 및 화학식 R 1 N(R 2 )R 3 F (상기 식에서, R1, R2 R3은 각각 개별적으로 H 또는 (C1-C4) 알킬 기를 나타낸다)의 지방족 1차, 2차 또는 3차 아민의 플루오라이드 염으로 구성되는 군으로부터 선택되는 조성물.
  11. 제 10항에 있어서, 플루오라이드 이온 공급원이 암모늄 플루오라이드인 조성물.
  12. 제 1항에 있어서, 플루오라이드 이온 공급원이 암모늄 플루오라이드이고, pH 완충 시스템이 시트르산 및 암모늄 시트레이트 삼염기 용액이고, 용매가 글리세롤 인 조성물.
  13. 제 1항에 있어서, 방향족 히드록실 화합물, 아세틸렌계 알콜, 카르복실 기 함유 유기 화합물 및 이의 무수물, 트리아졸 화합물, 및 이들의 혼합물로 구성되는 군으로부터 선택된 부식 억제제를 추가로 포함하는 조성물.
  14. 제 13항에 있어서, 부식 억제제가 카테콜, 갈산, 피로갈롤, 4-메틸 카테콜 푸마르산, 디에틸히드록실아민 및 이들의 혼합물로 구성되는 군으로부터 선택되는 조성물.
  15. 제 1항에 있어서, 암모늄 플루오라이드, 시트르산 및 암모늄 시트레이트 삼염기 용액, 물, 및 글리세롤로 필수적으로 구성되는 조성물.
  16. 제 1항에 있어서, 약 20 내지 약 99중량%의 글리세롤; 약 30 내지 약 90중량%의 물; 약 0.1 내지 약 10중량%의 시트르산의 29% 용액 또는 이의 화학양론적 등가물; 약 0.1 내지 약 40중량%의 암모늄 시트레이트 삼염기의 50% 용액 또는 이의 화학양론적 등가물; 및 약 0.1 내지 약 10중량%의 암모늄 플루오라이드의 40% 용액 또는 이의 화학양론적 등가물로 필수적으로 구성되는 조성물.
  17. 제 16항에 있어서, 약 25 내지 약 50중량%의 글리세롤; 약 40 내지 약 70중 량%의 물; 약 0.5 내지 약 1.5중량%의 시트르산의 29% 용액; 약 3 내지 약 7중량%의 암모늄 시트레이트 삼염기의 50% 용액; 및 약 1 내지 약 5중량%의 암모늄 플루오라이드의 40% 용액으로 필수적으로 구성되는 조성물.
  18. 기판으로부터 포토레지스트 또는 잔류물 코팅을 제거하는 방법으로서,
    a. 고분자 또는 포토레지스트 잔류물을 함유하는 기판을 제 1항에 따른 세정 조성물과 접촉시키는 단계; 및
    b. 접촉 후에, 기판으로부터 세정 조성물의 일부 또는 전부를 제거하여 코팅의 일부 또는 전부를 효과적으로 제거하는 단계를 포함하는 방법.
  19. 제 18항에 있어서, 기판이 반도체 기판인 방법.
  20. 제 19항에 있어서, 반도체 기판이 구리를 포함하는 방법.
  21. 제 18항에 있어서, 잔류물이 애싱 (ashing) 공정으로부터 생성된 것인 방법.
  22. 제 18항에 있어서, 제거 방법에 기판을 물로 헹구는 것이 포함되는 방법.
KR1020080002863A 2007-01-11 2008-01-10 반도체 기판용 세정 조성물 KR100963374B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/652,407 2007-01-11
US11/652,407 US7879783B2 (en) 2007-01-11 2007-01-11 Cleaning composition for semiconductor substrates

Publications (2)

Publication Number Publication Date
KR20080066577A true KR20080066577A (ko) 2008-07-16
KR100963374B1 KR100963374B1 (ko) 2010-06-14

Family

ID=39204996

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080002863A KR100963374B1 (ko) 2007-01-11 2008-01-10 반도체 기판용 세정 조성물

Country Status (8)

Country Link
US (1) US7879783B2 (ko)
EP (1) EP1944355B1 (ko)
JP (1) JP4750807B2 (ko)
KR (1) KR100963374B1 (ko)
CN (1) CN101246317A (ko)
AT (1) ATE445004T1 (ko)
DE (1) DE602008000181D1 (ko)
TW (1) TWI375870B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012081865A2 (ko) * 2010-12-14 2012-06-21 주식회사 동진쎄미켐 포토리소그래피용 세정액 조성물

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8211844B2 (en) * 2005-10-21 2012-07-03 Freescale Semiconductor, Inc. Method for cleaning a semiconductor structure and chemistry thereof
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
US20100081595A1 (en) * 2007-01-22 2010-04-01 Freescale Semiconductor, Inc Liquid cleaning composition and method for cleaning semiconductor devices
US20080234162A1 (en) * 2007-03-21 2008-09-25 General Chemical Performance Products Llc Semiconductor etch residue remover and cleansing compositions
CN101755324B (zh) * 2007-07-26 2011-10-12 三菱瓦斯化学株式会社 清洗和防腐用组合物及半导体元件或显示元件的制造方法
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
JP4903242B2 (ja) * 2008-10-28 2012-03-28 アバントール パフォーマンス マテリアルズ, インコーポレイテッド 多金属デバイス処理のためのグルコン酸含有フォトレジスト洗浄組成物
US20100151206A1 (en) * 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US8497233B2 (en) 2009-02-25 2013-07-30 Avantor Performance Materials, Inc. Stripping compositions for cleaning ion implanted photoresist from semiconductor device wafers
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
JP5513196B2 (ja) * 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
CN103081075A (zh) * 2010-08-31 2013-05-01 三菱瓦斯化学株式会社 硅蚀刻液以及使用其的晶体管的制造方法
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US20140137899A1 (en) * 2012-11-21 2014-05-22 Dynaloy, Llc Process for removing substances from substrates
JP6198384B2 (ja) 2012-11-28 2017-09-20 富士フイルム株式会社 半導体基板のエッチング方法及び半導体素子の製造方法
JP6501492B2 (ja) 2014-10-31 2019-04-17 関東化學株式会社 フォトレジスト残渣および/またはポリマー残渣を除去するための組成物
WO2016161072A1 (en) * 2015-03-31 2016-10-06 Air Products And Chemicals, Inc. Cleaning formulations
CN111656486A (zh) 2018-02-07 2020-09-11 盛美半导体设备(上海)股份有限公司 基板清洗方法及清洗装置
CN110158100B (zh) * 2019-04-20 2021-01-15 无锡天杨电子有限公司 一种清洗氮化硅陶瓷覆铜板黑边的方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW370664B (en) * 1995-06-26 1999-09-21 Mitsubishi Electric Corp Device for controlling a horizontal raster display width
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
EP1196943A4 (en) 1999-05-26 2007-01-17 Air Prod & Chem PROCESS FOR REMOVING CONTAMINATION FROM THE SURFACE AND USEFUL COMPOSITIONS THEREOF
US6147002A (en) 1999-05-26 2000-11-14 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
US6235693B1 (en) * 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6656894B2 (en) 2000-12-07 2003-12-02 Ashland Inc. Method for cleaning etcher parts
US20030022800A1 (en) * 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
TW200413522A (en) * 2002-11-08 2004-08-01 Sumitomo Chemical Co Washing liquid for semiconductor substrate
JP2004302271A (ja) 2003-03-31 2004-10-28 Nippon Zeon Co Ltd レジスト用剥離液及び剥離方法
JP4390616B2 (ja) * 2004-04-27 2009-12-24 Necエレクトロニクス株式会社 洗浄液及び半導体装置の製造方法
JP2005347587A (ja) 2004-06-04 2005-12-15 Sony Corp ドライエッチング後の洗浄液組成物および半導体装置の製造方法
JP4456424B2 (ja) * 2004-06-29 2010-04-28 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去組成物
US8030263B2 (en) 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
TW200722505A (en) 2005-09-30 2007-06-16 Rohm & Haas Elect Mat Stripper

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012081865A2 (ko) * 2010-12-14 2012-06-21 주식회사 동진쎄미켐 포토리소그래피용 세정액 조성물
WO2012081865A3 (ko) * 2010-12-14 2012-09-07 주식회사 동진쎄미켐 포토리소그래피용 세정액 조성물

Also Published As

Publication number Publication date
US7879783B2 (en) 2011-02-01
TW200834266A (en) 2008-08-16
US20080169004A1 (en) 2008-07-17
ATE445004T1 (de) 2009-10-15
JP2008198994A (ja) 2008-08-28
DE602008000181D1 (de) 2009-11-19
EP1944355A1 (en) 2008-07-16
KR100963374B1 (ko) 2010-06-14
CN101246317A (zh) 2008-08-20
JP4750807B2 (ja) 2011-08-17
TWI375870B (en) 2012-11-01
EP1944355B1 (en) 2009-10-07

Similar Documents

Publication Publication Date Title
KR100963374B1 (ko) 반도체 기판용 세정 조성물
EP3040409B1 (en) Stripping compositions having high wn/w etching selectivity
KR100857865B1 (ko) 세정 제제
JP4909908B2 (ja) 銅とlow−k誘電材料を有する基板からレジスト、エッチング残渣、及び酸化銅を除去する方法
US7888302B2 (en) Aqueous based residue removers comprising fluoride
US8772214B2 (en) Aqueous cleaning composition for removing residues and method using same
US10233413B2 (en) Cleaning formulations
TW201900860A (zh) 化學機械研磨後調配物及使用方法
US10647950B2 (en) Cleaning formulations
US7682458B2 (en) Aqueous based residue removers comprising fluoride
EP3599633A1 (en) Post etch residue cleaning compositions and methods of using the same
KR102321217B1 (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180516

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190515

Year of fee payment: 10