KR20070105259A - low-k 유전 물질 손상이 감소한 마스킹 물질 제거방법 - Google Patents

low-k 유전 물질 손상이 감소한 마스킹 물질 제거방법 Download PDF

Info

Publication number
KR20070105259A
KR20070105259A KR1020070039771A KR20070039771A KR20070105259A KR 20070105259 A KR20070105259 A KR 20070105259A KR 1020070039771 A KR1020070039771 A KR 1020070039771A KR 20070039771 A KR20070039771 A KR 20070039771A KR 20070105259 A KR20070105259 A KR 20070105259A
Authority
KR
South Korea
Prior art keywords
masking material
low
computer
plasma
readable media
Prior art date
Application number
KR1020070039771A
Other languages
English (en)
Inventor
치린 후앙
시이 리
킹준 초우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070105259A publication Critical patent/KR20070105259A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

low-k 물질의 노출 표면에 손상을 최소화하면서 노출 low-k 물질을 갖는 기판으로부터 마스킹 물질을 제거하기 위한 방법이 제공된다. 일 실시예에서, 처리 챔버 내의 기판으로부터 마스킹 물질을 제거하기 위한 방법은, 제거될 마스킹 물질 및 노출 low-k 물질을 가진 기판을 제공하는 단계; 제 1 시간 동안 환원 화학물로 형성된 제 1 플라스마에 상기 마스킹 물질을 노출시키는 단계; 및, 제 2 시간 동안 산화 화학물로 형성된 제 2 플라스마에 상기 마스킹 물질을 노출시키는 단계를 포함한다. 단계들은 바람직하게 반복될 수 있으며, 역순으로 수행될 수 있다. 선택적으로, 적어도 하나의 희석 가스가 산화 화학물에 추가될 수 있다.

Description

low-k 유전 물질 손상이 감소한 마스킹 물질 제거 방법 {METHOD FOR REMOVING MASKING MATERIALS WITH REDUCED LOW-K DIELECTRIC MATERIAL DAMAGE}
도 1은, 본원 발명의 일 실시예에 따른 low-k 물질 상에 배치된 마스킹 물질을 제거하는 방법의 순서도이다.
도 2a ~ 2b는, 본원 발명에 따른 이중 칼날형 상호 연결 처리 시퀀스의 상이한 스테이지 동안의 기판 단면도이다. 그리고,
도 3은, 본원 발명의 일부를 실행하도록 사용될 수 있는 처리(에칭) 반응기의 개략적인 다이어그램이다.
본 발명은 일반적으로 집적 회로 제조에 관한 것이며, 보다 특정적으로 집적 회로 제조 동안 낮은 유전 상수의 유전 물질(이후, low-k 물질)로부터 마스킹 물질(masking materials)을 제거하기 위한 방법에 관한 것이다.
집적 회로는 단일 칩 상에 수만개의 구성 요소(예를 들어, 트랜지스터, 커패 시터, 및 저항)를 포함할 수 있는 복잡한 장치로 개발되어 왔다. 칩 디자인 개발은 보다 빠른 회로 및 보다 증진된 회로 밀도를 계속 요구한다. 증진된 회로 밀도는 집적 회로 구성요소의 치수 감소를 필요로 한다.
집적 회로 구성요소들의 치수가 감소함에 따라(예를 들어, 서브-마이크론 치수), 그러한 구성요소들에 사용되는 물질들은 집적회로의 전기적 성능에 보다 큰 영향을 준다. 예를 들어, 낮은 저항 금속의 상호 연결부(예를 들어, 구리 또는 알루미늄)는 집적 회로 상의 구성요소들 사이의 전도성 경로를 제공한다. 금속 상호 연결부는 절연 물질에 의해 서로 전기적으로 절연된다. 인접한 금속 상호 연결부 사이의 거리 및/또는 절연 물질의 두께가 서브-마이크론 치수를 갖는 경우, 용량 결합이 금속 상호 연결부 사이에서 이루어지며, 이에 따라 크로스 토크(cross talk) 및/또는 저항-용량(RC; resistance-capacitance) 지연을 야기하고 집적 회로의 전체적인 성능을 저하시킨다. 인접한 금속 상호연결부 사이의 용량 결합을 감소시키도록, low-k 물질(예를 들어, 유전 상수가 4.0 이하)이 사용된다.
불행히도, low-k 물질은 종래의 제조 기술을 사용하는 처리가 용이하지 않다. 특히, low-k 물질은 피쳐가 low-k 금속층 내에 에칭된 이후 마스킹 물질(즉, 포토레지스트 층)을 벗기는데 사용되는 플라스마 처리와 같은 플라스마 처리 동안 손상되기 쉽다. 예를 들어, 포토리제스트를 제거하는 산소 플라스마를 사용하는 경우, 산소는 노출 low-k 물질의 표면 근처에서 탄소 및/또는 질소와 반응하고 이 를 제거하며, 이에 따라 low-k 물질이 손상된다. low-k 물질의 변경은 low-k 쉬프트를 야기하는데, 물질의 유전 상수가 변경되는 것을 특징으로 한다.
추가로, 손상은 일반적으로 추가적인 처리 문제를 이끈다. 예를 들어, 플루오르화수소(HF) 침하(dip)와 같은 잔여물 제거를 위한 후속 웨트 에칭 단계에서, 손상된 low-k 물질은 용이하게 제거되는데, 이는 바람직하지 않다. 상호연결부 구조체를 형성하거나 또는 low-k 물질 내에 다른 피쳐를 에칭하는 것과 같은 제조 처리에서, 이는 측벽체 후퇴(sidewall pull-back)로 알려진 조건을 야기한다. 또한, low-k 물질 상에 놓일 수 있는 캐핑층(capping layer)과 같은 손상되는 층이 보다 많이 존재하는 곳에서, 상호연결 피쳐의 일부를 형성하는 노출 low-k 물질의 측벽 프로파일의 불균등성은 상호연결 피쳐를 완결할 것을 요구하는 후속하는 전도 물질 증착 단계의 어려움을 야기하며, 잠재적으로는 결함 상호 연결 구조체를 포함하는 집적 회로의 완벽한 실패를 야기한다.
따라서, low-k 물질로부터 마스킹 물질을 제거하는 증진된 방법의 필요성이 있다.
low-k 물질의 노출 표면에 손상을 최소화하면서 노출 low-k 물질을 갖는 기판으로부터 마스킹 물질을 제거하기 위한 방법이 제공된다. 일 실시예에서, 처리 챔버 내의 기판으로부터 마스킹 물질을 제거하기 위한 방법은, 제거될 마스킹 물질 및 노출 low-k 물질을 가진 기판을 제공하는 단계; 제 1 시간 동안 환원 화학물로 형성된 제 1 플라스마에 상기 마스킹 물질을 노출시키는 단계; 및, 제 2 시간 동안 산화 화학물로 형성된 제 2 플라스마에 상기 마스킹 물질을 노출시키는 단계를 포함한다. 단계들은 바람직하게 반복될 수 있으며, 역순으로 수행될 수 있다. 선택적으로, 적어도 하나의 희석 가스가 산화 화학물에 추가될 수 있다.
다른 실시예에서, 처리 챔버 내의 기판으로부터 마스킹 물질을 제거하기 위한 방법은, (a) 제거될 마스킹 물질 및 노출 low-k 물질을 가진 기판을 제공하는 단계; (b) (b1) 또는 (b2) 중 하나를 수행하는 단계로서, (b1) 제 1 시간 동안 환원 화학물로 형성된 제 1 플라스마에 마스킹 물질을 노출하는 단계 또는 (b2) 제 2 시간 동안 산화 화학물로 형성된 제 2 플라스마에 마스킹 물질을 노출하는 단계; (c) 상기 (b1) 또는 (b2) 단계중 (b) 단계에서 수행되지 않은 단계를 수행하는 단계; 및, (d) 상기 (b) 단계에서 처음 수행된 단계를 반복하는 단계를 포함한다.
본원 발명의 전술한 특징을 포함하며 상세히 이해될 수 있는 방식으로, 앞서 요약한 본원 발명의 특징들을, 첨부한 도면을 참조하여 일 실시예로서 상술한다.
그러나, 첨부된 도면은 본원 발명의 일 실시예만을 설명할 뿐이며, 따라서 그 범위가 이에 제한되지 않고 다른 효과적인 균등한 실시예에 그 범위가 미친다.
이해를 돕기 위해, 가능한 동일 구성 요소에 도면에 기재된 동일 도면 부호를 사용하였다.
본 발명의 실시예들은 low-k 물질의 처리 방법에 관한 것이며, 특히 노출 low-k 절연 물질을 갖는 기판으로부터 마스킹 물질을 제거하기 위한 방법에 관한 것이다.
도 1은 본원 발명의 일 실시예에 따라 low-k 물질로부터 마스킹 물질을 제거하도록 사용될 수 있는 단계의 방법(100)을 도시한다. 도 2a ~ 2b는, 이중 칼날형 상호연결 제조 시퀀스의 상이한 스테이지 동안의 기판 단면도이다. 본원 발명을 가장 잘 이해할 수 있도록, 도 1 및 도 2a ~ 2b를 동시에 참고한다.
방법(100)은 기판(200)이 low-k 물질(220) 및 제거될 마스킹 물질(222)을 갖도록 제공되는 단계(102)에서 시작한다. 기판(200)은 실리콘 또는 기타 반도체 기판과 같은 어떠한 기판일 수 있으며, 전형적으로 상부에 형성된 적어도 하나의 low-k 물질층(202)을 갖는 지지층(204)을 포함한다. low-k 물질은 탄소-도핑 산화 실리콘(SiOC), (폴리이미드(polyimide), 파릴렌(parylene과 같은) 유기성 중합체(organic polymer)와 같은 탄소-도핑 절연 물질일 수 있다.
트랜치(212) 및/또는 비아(210)와 같은 피쳐는 전형적으로 에칭되거나 또는 low-k 물질층(202) 내에 형성될 수 있으며, 이에 따라 측벽체(216)와 같은 low-k 물질층의 일부를 노출한다. 마스킹층(208)은 low-k 물질층(202) 너머 형성되어 low-k 물질층(202) 내에 피쳐를 에칭하는 것을 용이하게 한다. 마스킹층(208)은 포토레지스트 또는 유기성 중합체와 같은 다른 유기성 마스킹 물질을 포함할 수 있다. 선택적으로, 마스킹층(208)을 형성하기 전에 캐핑층(206)이 low-k 물질층(202) 상에 배치될 수 있다. 캐핑층(206)은 얇은 산화막을 및 결합막을 포함할 수 있으며, 이는 산화막이 low-k 물질층(202)에 잘 부착되도록 한다. 기판(200)은 상이하거나 또는 추가 물질층을 포함할 수 있으며 비아 및 트렌치 외의 피쳐들이 low-k 물질층(202) 내에 형성될 수 있음을 이해하여야 한다.
다음, 단계(104)에서 마스킹층(208)이 환원 화학물로 형성된 제 1 플라스마에 노출된다. 환원 화학물은 패시베이션(passivation) 또는 중합체 증착 가스(polymer deposition gas)를 포함한다. 일 실시예에서, 패시베이션 가스는 하나 이상의 암모니아(NH3), 수소(H2), 메탄(CH4), 질소(N2) 및 그 조합을 포함한다. 제 1 플라스마에 사용된 환원 화학물은 중합체를 피쳐의 측벽체 상에 증착하며, 이에 따라 측벽체의 벗김 처리로 인한 손상을 방지한다. 환원 화학물은 큰 작업 윈도우를 갖는 것이 바람직하며, 다른 벗김 화학 처리들과 비교하여, 측벽체(216)와 같은 노출 표면에 상대적으로 작은 양의 low-k 물질 손상을 제공한다.
일 실시예에서, 약 100sccm 내지 1,000sccm의 총 가스 유동률로 패시베이션 가스(들)을 제공하고 약 50 내지 약 500Watts의 범위로 전력을 제공함을써, 약 2mTorr 내지 약 300mTorr의 범위의 챔버 압력에서 제 1 플라스마가 형성된다. 마스킹층(208)은 약 10 내지 약 1,000초 사이의 기간 동안 제 1 플라스마에 노출된다. 일 실시예에서, 처리 온도는 섭씨 약 0 ~ 60도 사이로 유지된다.
단계(104) 이후, 방법(100)은 단계(106)로 진행하며, 여기에서 마스킹층(208)은 산화 화학물로 형성된 제 2 플라스마에 노출된다. 산화 화학물은 산소-포함 가스를 포함한다. 일 실시예에서, 산소-포함 가스는 적어도 하나의 산소(O2), 일산화탄소(CO), 이산화탄소(CO2), 수증기(H2O), 또는 그 조합을 포함한다. 제 2 플라스마의 산화 화학물은, 단계(104)의 제 1 플라스마의 환원 화학물에 비교하여 포토레지스트 및 기타 유기성 마스킹 물질을 제거하는 보다 높은 벗김률 및 양호한 성능을 갖는 경향이 있다. 추가로, 제 2 플라스마의 산화 화학물은 low-k 물질층(202)의 노출 표면 근처에 배치된 탄소 및 수소와 높은 반응성을 갖는다. 그러나 단계(106) 동안, low-k 물질의 노출 표면으로부터 제거된 탄소 및/또는 수소는 단계(104) 동안의 표면 상에서 중합체 증착에 의해 최소화된다.
일 실시예에서, 단계(106)는 약 100mTorr 이하의 챔버 압력에서 약 10 내지 약 300초 동안 수행된다. 일 실시예에서, 방법이 극 low-k (ELK; extreme low-k) 물질 상에서 수행되는 경우(예를 들어, 약 2.7 이하의 유전 상수를 갖는 물질), 단계(106)는 약 10mTorr 이하의 챔버 압력, 또는 약 2mTorr 이하의 챔버 압력에서, 약 10 내지 약 300초 동안 수행된다. 저압 환경은 비충돌 플라스마 시스(collison-free plasma sheath)를 유지한다. (즉, 플라스마 내의 입자의 평균 자유 경로가 플라스마 시스 두께보다 매우 길다.) 하전 입자가 플라스마 시스 전위 하에서 방출되어 에칭된 피쳐와 접촉하면, 하전 입자가 기판에 거의 수직으로 이르고(즉, 부등방성 에칭 조건(anisotropic etch condition)) 시스 내의 다른 입자와 충돌할 확률이 거의 업다. 이에 따라, 에칭된 수직 측벽체는 하전 입자에 의한 공격이 최소가 된다. 이러한 처리 조건 하에서, 화학적 작용 입자에 의한 피쳐의 수직 측벽체 상의 무작위 공격은 더욱 감소한다. 또한, 낮은 총 가스 유동은 바람직하게 챔버 내의 가스의 양을 제한함으로써 측벽체 손상을 더욱 감소시킨다. 일 실시예에서 처리 챔버 내의 산화 화학물 가스 유동은 약 10 ~ 500sccm 사이이 다. 일 실시에에서 챔버 내의 총 가스 유동은 약 200sccm 이하이다. 또한, 처리 챔버에 공급되는 전력은 가능한 낮은 이온 및 중립자 농도를 갖는 산화 플라스마를 유지하도록 최소화될 수 있다. 일 실시예에서, 챔버에 입력되는 RF 전력은 약 200Watts 이하이다.
선택적으로, 단계(106)의 제 2 플라스마는 하나 또는 그 이상의 비활성 희석 가스(들)을 더 포함할 수 있다. 희석 가스는, 희석 가스 대 산화 화학물 비율이 약 1:1 내지 5:1 사이가 되도록 산화 화학물에 부가될 수 있다. 일 실시예에서, 비활성 희석 가스는 헬륨(He), 아르곤(Ar), 크세논(Xe) 중 적어도 하나 이상을 포함한다. 제 2 플라스마의 산화 화학물로의 비활성 희석 가스 추가는 플라스마 내의 산소 농도를 바람직하게 희석시키며, 이에 따라 노출 low-k 물질의 손상을 감소시킨다. 또한, 비활성 희석 가스의 추가는 플라스마에 이온 충돌 요소를 바람직하게 추가하며, 이에 따라 (현존하는) 캐핑층(206)의 에칭률을 증가시키고 (low-k 물질층과 캡층 각각의 에칭률 차이로 인하여 캡층이 low-k 물질의 측벽체 프로파일 너머 연장된 조건에서) 캡 오버행(cap overhang)을 방지한다.
단계(106)가 완결되면, 점선(108)에 따라 단계(104)가 반복된다. 단계들의 반복은 마스킹층(208)이 완전히 제거될때까지 계속될 수 있다. 완전히 제거되면 방법(100)이 종결되고, 기판(200) 처리는 예를 들어 집적 회로의 완전한 제작으로 이어진다.
전술한 방법(100)은 환원 화학물(단계(104))으로 형성된 플라스마를 사용하고 이어서 산화 화학물(단계(106))로 형성된 플라스마를 사용하여 포토레지스트 또 는 유기성 물질을 제거하지만, 단계들이 반대 순서로 이루어질 수 있다. 예를 들어, 방법(100)이 단계(106)의 산화 화학물로 인한 손상에 보다 민감한 노출 low-k 물질을 갖는 기판(200) 상에서 이루어진다면, 방법(100)은 제 1 단계로서 단계(104)의 중합체-증착 환원 화학물(polymer deposition reducing chemistry)을 사용하는 것이 바람직할 수 있다. 대안적으로, low-k 물질이 보다 강한 물질이라면, 방법(100)은 마스킹 물질을 보다 빠르게 제거하기 위해 단계(106)를 시작하는 것이 바람직할 수 있다.
본원 발명의 일 실시예에서, 방법(100)은 Santa Clara, California의 Applied Materials Inc.로부터 입수 가능한 ENABLER® 처리 반응기 또는 다른 적합한 처리 반응기 내에서 수행될 수 있다. 도 3은 적합한 일 처리 (에칭) 반응기(302)의 개략적 다이어그램으로서 본원 발명의 일부를 수행하도록 사영될 수 있다. 에칭 반응기(302)는 Santa Clara, California의 Applied Materials Inc.로부터 입수 가능한 CENTURA® 반도체 웨이퍼 처리 시스템의 처리 모듈로서 사용되는 것이 일반적일 수 있다.
반응기(302)는 일반적으로 처리 챔버(310)와 제어기(340)를 포함한다. 챔버(310)는 제어된 스로틀 밸브(327)와 진공 펌프(336)를 공급받는 고-진공 베슬(high vacuum vessel)이다. 처리 챔버(310)는 전도성 바디(벽체)(330), 리드 어셈블리(lid assembly)(313), 웨이퍼 지지 받침대(316) 및 세라믹 라이너(ceramic liner)(331)를 더 포함한다. 리드 어셈블리(313)는 일반적으로 샤워헤드(332) 및 상부 전극(328)를 포함한다. 샤워헤드(332)는 상부 전극(328) 상에 장착되고 수개의 가스 분배 구역을 포함할 수 있어서, 다양한 가스들이 특정 유동률로서 챔버(310)의 반응 볼륨에 공급될 수 있다. 상부 전극(328)은 (예를 들어, 1/4파장 매칭 스터브(quarter-wavelength matching stub)와 같은) 임피던스 변환기(319)를 통해 초고주파수(VHF; very high frequency) 공급원(318)에 결합된다. VHF 공급원(318)은 약 100MHz 이상의 조정 주파수(tunable frequency)에서 3,000Watts에 이르는 제공이 가능한 것이 일반적이다.
지지 받침대(316)는 기판(300)을 유지하기 위한 정전기 척(326)을 포함한다. 작동시, 기판(300)은 지지 받침대(즉, 캐소드)(316) 상에 위치한다. 정전기 척(326)은 DC 전력 공급원(320)을 사용하여 제어된다. 매칭 네트워크(324)를 통한 지지 받침대(316)는 바이어스 공급원(322)에 연결된다. 바어어스 공급원(322)은 일반적으로 약 50kHz 내지 13.6MHz의 조정 주파수에서 5,000W에 이르는 라디오-주파수(RF) 전력(즉, 캐소드 바이어스 전력)을 제공할 수 있다. 선택적으로, 공급원(322)은 DC 또는 펄싱된 DC 전력의 공급원일 수 있다.
챔버 벽체(330)은 일반적으로 금속(예를 들어, 알루미늄(Al), 스테인레스 강 등)으로 형성되며, 반응기(302)의 전기적 접지 터미널(334)에 연결된다. 세라믹 라이너(331)은 처리 챔버(310)의 정위치 세정 기능을 한다.
처리 가스(들)은 가스 패털(338)로부터 샤워헤드(332)를 통해 처리 챔버(310)에 공급된다. 처리 챔버(310) 내의 가스 혼합체(350)의 압력은 가스 패널(338) 및/또는 스로틀 밸브(327)를 사용하여 제어될 수 있다. 가스 혼합체(350) 는 VHF 공급원(318)으로부터의 전력을 적용함으로써 챔버(310)의 반응 볼륨 내의 플라스마(351) 내에서 점화될 수 있다.
기판(300)의 온도는 정전기 척(326)의 온도를 안정화시키고 가스 공급원(348)으로부터 정전기 척(326)의 표면 내의 (도시되지 않은) 그루브 및 기판(300)의 후면에 형성된 채널 내로 헬륨(He)을 유동시킴으로서 제어된다. 헬륨 가스는 기판(300)과 정전기 척(326) 사이의 균등한 열 전달에 도움을 준다. 이러한 열 제어를 사용하여, 기판(300)은 섭시 10 내지 500도 사이 범위의 제어된 온도에서 유지될 수 있다.
전술한 바와 같은 처리 챔버(310)의 온도 기능을 위해, 제어기(340)는 다양한 챔버 및 서브-프로세서를 제어하기 위한 산업적 세팅용으로 사용될 수 있는 일반적인 목적의 컴퓨터 프로세서 형태 중 하나일 수 있다. CPU(344)의 메모리 또는 컴퓨터 판독가능 매체(342)는 램(RAM; random access memory), 롬(ROM; read only memory), 플로피 디스크, 하드 디스크, 또는 어떠한 디지털 저장 형식의 메모리와 같은 하나 또는 그 이상의 용이하게 입수 가능한 메모리일 수 있다. 서포트 회로(346)가 종래 방법에 의해 프로세서를 서포트하도록 CPU(344)에 연결된다. 이러한 회로는, 캐쉬, 전력 공급원, 시계 회로, 입출력 회로 및 서브 시스템 등을 포함한다.
방법(100)은 소프트웨어 루틴(304)으로서 메모리(342) 내에 저장되는 것이 일반적이다. 소프트웨어 루틴(304)은, 하드웨어로부터 이격되어 위치하며 CPU(344)에 의해 제어되는 (도시되지 않은) 제 2 CPU에 의해 저장 및/또는 수행될 수 있다. 본 발명의 단계들의 일부 또는 전부가 하드웨어에서 수행될 수 있다. 이에 따라, 본 발명은 소프트웨어에서 수행될 수 있으며, 그리고 예를 들어 특정 집적 회로가 적용된 하드웨어 또는 다른 형식의 하드웨어에서 또는 소프트웨어와 하드웨어의 조합으로서 컴퓨터 시스템을 사용하여 수행될 수 있다. CPU(344)에 의해 행되는 경우, 소프트웨어 루틴(304)은 반응기(302)가 본 발명의 프로세스들을 수행하도록 하고 메모리(342) 내에 저장되는 것이 일반적이다. 또한, 소프트웨어 루틴(304)은, 하드웨어로부터 이격되어 위치하며 CPU(344)에 의해 제어되는 (도시되지 않은) 제 2 CPU에 의해 저장 및/또는 수행될 수 있다.
소프트웨어 루틴(304)은 기판(300)이 받침대(316) 상에 위치한 이후 실행된다. CPU(344)에 의해 행되는 경우, 소프트웨어 루틴(304)은 일반적 목적의 컴퓨터를 특정 목적의 컴퓨터(제어기)(340)로 전달하며, 이는 챔버 작동을 제어하여 에칭 절차가 수행되도록 한다. 본 발명의 프로세스가 소프트웨어 루틴에 의해 수행되는 것이로 기술하였으나, 소정의 다른 방법은 소프트웨어 제어기 뿐만 아니라 하드웨어에서 수행될 수 있다. 이에 따라, 본 발명은 컴퓨터 시스템으로 수행되는 소프트웨어에서, 특정 집적 회로의 적용 또는 다른 형식의 하드웨어에서, 또는 소프트웨어와 하드웨어의 조합으로서 수행될 수 있다.
따라서, 포토레지스트 또는 다른 유기성 마스킹 물질을 기판으로부터 제거하는 방법은 노출 low-k 물질에 손상을 쇠초로 하면서 재공된다. 전술한 본 방법의 실시예들은 이중 칼날형 구조체의 형태와 연관지어 수행되었으나, 방법(100)은 다른 IC 장치 또는 노출 low-k 물질을 가진 기판으로부터 포토레지스트 또는 다른 유 기성 마스킹 물질을 제거하는 것이 바람직한 구조체 제조 프로세스와 연관되어 수행될 수 있다.
본 발명에 따른 실시예들을 서술하였으나, 본 발명의 다른 실시예들이 본 발명의 범위를 벗어나지 않으면서도 가능할 것이며, 본 발명의 범위는 이하의 청구범위에서 기재된다.
low-k 물질은 피쳐가 low-k 금속층 내에 에칭된 이후 마스킹 물질(즉, 포토레지스트 층)을 벗기는데 사용되는 플라스마 처리와 같은 플라스마 처리 동안 손상되기 쉬운데, 본 발명에 따라서 그러한 손상을 감소시킬 수 있다.
추가로, 손상으로 인한 추가적인 처리 문제를 개선하며, 예를 들어 플루오르화수소(HF) 침하와 같은 잔여물 제거를 위한 후속 웨트 에칭 단계에서 손상된 low-k 물질이 용이하게 제거되지 않도록 한다, 상호연결부 구조체를 형성하거나 또는 low-k 물질 내에 다른 피쳐를 에칭하는 것과 같은 제조 처리에서, 측벽체 후퇴로 알려진 조건을 방지한다. 또한, 상호연결 피쳐의 일부를 형성하는 노출 low-k 물질의 측벽 프로파일의 불균등성을 방지하여 상호연결 피쳐를 완결할 것을 요구하는 후속하는 전도 물질 증착 단계를 용이하게 한다. 이로 인해, 결함 상호 연결 구조체를 포함하는 집적 회로가 양호한 품질을 가질 수 있다.

Claims (21)

  1. 처리 챔버 내의 기판으로부터 마스킹 물질을 제거하기 위한 방법으로서,
    (a) 제거될 마스킹 물질 및 노출 low-k 물질을 가진 기판을 제공하는 단계;
    (b) 제 1 시간 동안 환원 화학물로 형성된 제 1 플라스마에 상기 마스킹 물질을 노출시키는 단계; 및
    (c) 제 2 시간 동안 산화 화학물로 형성된 제 2 플라스마에 상기 마스킹 물질을 노출시키는 단계를 포함하는,
    마스킹 물질 제거 방법.
  2. 제 1 항에 있어서,
    상기 환원 화학물은 암모니아(NH3), 수소(H2), 메탄(CH4), 및 질소(N2) 중 하나 이상을 포함하는,
    마스킹 물질 제거 방법.
  3. 제 2 항에 있어서,
    상기 환원 화학물의 유동률은 약 100 ~ 1000sccm이며,
    상기 처리 챔버는 약 2mTorr 내지 300mTorr 사이의 압력에서 유지되는,
    마스킹 물질 제거 방법.
  4. 제 1 항에 있어서,
    상기 산화 화학물은 산소(O2), 일산화탄소(CO), 이산화탄소(CO2), 및 수증기(H2O) 중 하나 이상을 포함하는,
    마스킹 물질 제거 방법.
  5. 제 4 항에 있어서,
    상기 산화 화학물의 유동률은 약 10 ~ 500sccm이며,
    상기 처리 챔버는 약 100mTorr 이하의 압력에서 유지되는
    마스킹 물질 제거 방법.
  6. 제 1 항에 있어서,
    상기 제 2 플라스마는 하나 이상의 희석 가스를 더 포함하는,
    마스킹 물질 제거 방법.
  7. 제 6 항에 있어서,
    상기 하나 이상의 희석 가스는 헬륨(He), 아르곤(Ar), 및 크세논(Xe) 중 하나 이상을 포함하는,
    마스킹 물질 제거 방법.
  8. 제 6 항에 있어서,
    상기 희석 가스는, 상기 희석 가스 대 상기 산화 화학물 비율이 약 1:1 내지 5:1 사이가 되도록 제공되는,
    마스킹 물질 제거 방법.
  9. 제 1 항에 있어서,
    상기 (c)단계는 상기(b) 단계 전에 이루어지는,
    마스킹 물질 제거 방법.
  10. 제 1 항에 있어서,
    상기 제 1 시간은 약 10 ~ 1,000초 사이이며,
    상기 제 2 시간은 약 10 ~ 300초 사이인,
    마스킹 물질 제거 방법.
  11. 제 1 항에 있어서,
    상기 (b)단계 또는 상기 (c)단계에서 그 중 하나 이상의 선행 단계를 반복하는 단계를 더 포함하는,
    마스킹 물질 제거 방법.
  12. 제 1 항에 있어서,
    상기 (b)단계 또는 상기 (c)단계 중 하나를 먼저 수행하는 단계;
    상기 (b)단계 또는 상기 (c)단계 중 다른 하나를 수행하는 단계; 및
    상기 먼저 수행하는 단계를 반복하는 단계를 더 포함하는,
    마스킹 물질 제거 방법.
  13. 컴퓨터에 의해 수행되는 경우, 프로세싱 시스템이 노출 low-k 유전 물질을 가진 처리 챔버 내의 기판으로부터 마스킹 물질을 제거하도록 하는 컴퓨터-판독가 능 매체로서,
    (a) 제 1 시간 동안 환원 화학물로 형성된 제 1 플라스마에 상기 마스킹 물질을 노출시키는 단계; 및
    (b) 제 2 시간 동안 산화 화학물로 형성된 제 2 플라스마에 상기 마스킹 물질을 노출시키는 단계를 포함하는,
    컴퓨터-판독가능 매체.
  14. 제 13 항에 있어서,
    상기 환원 화학물은 암모니아(NH3), 수소(H2), 메탄(CH4), 및 질소(N2) 중 하나 이상을 포함하는,
    컴퓨터-판독가능 매체.
  15. 제 13 항에 있어서,
    상기 환원 화학물의 유동률은 약 100 ~ 1000sccm이며,
    상기 처리 챔버는 약 2mTorr 내지 300mTorr 사이의 압력에서 유지되는,
    컴퓨터-판독가능 매체.
  16. 제 13 항에 있어서,
    상기 산화 화학물은 산소(O2), 일산화탄소(CO), 이산화탄소(CO2), 및 수증기(H2O) 중 하나 이상을 포함하는,
    컴퓨터-판독가능 매체.
  17. 제 13 항에 있어서,
    상기 산화 화학물의 유동률은 약 10 ~ 500sccm이며,
    상기 처리 챔버는 약 100mTorr 이하의 압력에서 유지되는
    컴퓨터-판독가능 매체.
  18. 제 13 항에 있어서,
    상기 제 2 플라스마는 헬륨(He), 아르곤(Ar), 및 크세논(Xe) 중 하나 이상을 포함하는 하나 이상의 희석 가스를 더 포함하는,
    컴퓨터-판독가능 매체.
  19. 제 18 항에 있어서,
    상기 희석 가스는, 상기 희석 가스 대 상기 산화 화학물 비율이 약 1:1 내지 5:1 사이가 되도록 제공되는,
    컴퓨터-판독가능 매체.
  20. 제 13 항에 있어서,
    상기 제 1 시간은 약 10 ~ 1,000초 사이이며,
    상기 제 2 시간은 약 10 ~ 300초 사이인,
    컴퓨터-판독가능 매체.
  21. 제 13 항에 있어서,
    상기 (b)단계 또는 상기 (c)단계에서 그 중 하나 이상의 선행 단계를 반복하는 단계를 더 포함하는,
    컴퓨터-판독가능 매체.
KR1020070039771A 2006-04-25 2007-04-24 low-k 유전 물질 손상이 감소한 마스킹 물질 제거방법 KR20070105259A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/410,786 2006-04-25
US11/410,786 US7790047B2 (en) 2006-04-25 2006-04-25 Method for removing masking materials with reduced low-k dielectric material damage

Publications (1)

Publication Number Publication Date
KR20070105259A true KR20070105259A (ko) 2007-10-30

Family

ID=38620015

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070039771A KR20070105259A (ko) 2006-04-25 2007-04-24 low-k 유전 물질 손상이 감소한 마스킹 물질 제거방법

Country Status (5)

Country Link
US (1) US7790047B2 (ko)
KR (1) KR20070105259A (ko)
CN (1) CN101064253A (ko)
SG (1) SG136917A1 (ko)
TW (1) TWI363255B (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858476B2 (en) * 2006-10-30 2010-12-28 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US7637269B1 (en) * 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
JP5264834B2 (ja) * 2010-06-29 2013-08-14 東京エレクトロン株式会社 エッチング方法及び装置、半導体装置の製造方法
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
KR102192281B1 (ko) * 2012-07-16 2020-12-18 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 순수 환원성 플라즈마에서 높은 종횡비 포토레지스트 제거를 위한 방법
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9040430B2 (en) 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
JP6170378B2 (ja) * 2013-08-29 2017-07-26 東京エレクトロン株式会社 エッチング方法
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
JP6224490B2 (ja) * 2014-03-10 2017-11-01 東京応化工業株式会社 エッチングマスクを形成するためのガラス基板の前処理方法
US9691590B2 (en) * 2015-06-29 2017-06-27 Lam Research Corporation Selective removal of boron doped carbon hard mask layers
CN108919407A (zh) * 2018-07-11 2018-11-30 京东方科技集团股份有限公司 金属线及金属线栅的制备方法以及线栅偏振片、电子装置
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6105588A (en) * 1998-05-27 2000-08-22 Micron Technology, Inc. Method of resist stripping during semiconductor device fabrication
US6028015A (en) * 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6235453B1 (en) * 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6316354B1 (en) * 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US6492257B1 (en) * 2000-02-04 2002-12-10 Advanced Micro Devices, Inc. Water vapor plasma for effective low-k dielectric resist stripping
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6680164B2 (en) * 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US7029992B2 (en) * 2004-08-17 2006-04-18 Taiwan Semiconductor Manufacturing Company Low oxygen content photoresist stripping process for low dielectric constant materials
US7598176B2 (en) * 2004-09-23 2009-10-06 Taiwan Semiconductor Manufacturing Co. Ltd. Method for photoresist stripping and treatment of low-k dielectric material
US7288488B2 (en) * 2005-05-10 2007-10-30 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
US7413994B2 (en) * 2005-06-08 2008-08-19 Texas Instruments Incorporated Hydrogen and oxygen based photoresist removal process
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof

Also Published As

Publication number Publication date
US20070249172A1 (en) 2007-10-25
CN101064253A (zh) 2007-10-31
SG136917A1 (en) 2007-11-29
TW200745786A (en) 2007-12-16
TWI363255B (en) 2012-05-01
US7790047B2 (en) 2010-09-07

Similar Documents

Publication Publication Date Title
KR20070105259A (ko) low-k 유전 물질 손상이 감소한 마스킹 물질 제거방법
US7807579B2 (en) Hydrogen ashing enhanced with water vapor and diluent gas
US9653327B2 (en) Methods of removing a material layer from a substrate using water vapor treatment
US7575007B2 (en) Chamber recovery after opening barrier over copper
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
CN101606234B (zh) 蚀刻方法及存储介质
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
TWI651805B (zh) 具有高角落選擇性的自我對準接觸窗/導通孔之形成方法
KR101032831B1 (ko) 챔버 탈불화 및 웨이퍼 탈불화 단계들을 방해하는 플라즈마에칭 및 포토레지스트 스트립 프로세스
WO2000014793A2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
JP2017520938A (ja) 不動態化を使用する銅の異方性エッチング
KR100500932B1 (ko) 비아 콘택 식각 후의 감광막 제거 및 건식 세정 방법
JP2001358218A (ja) 有機膜のエッチング方法及び素子の製造方法
US6554004B1 (en) Method for removing etch residue resulting from a process for forming a via
KR101179111B1 (ko) 에칭 방법 및 기억 매체
JP5153143B2 (ja) 銅表面に対する表面還元、不動態化、腐食防止、および活性化のための方法
US6541372B2 (en) Method for manufacturing a conductor structure for an integrated circuit
US20230005753A1 (en) Etching method and plasma processing apparatus
JP2008311258A (ja) 低誘電率の誘電材料の損傷を低減したマスキング材料の除去方法
WO2023008025A1 (ja) エッチング方法、半導体装置の製造方法、エッチングプログラムおよびプラズマ処理装置
JP2023008824A (ja) エッチング方法及びプラズマ処理装置
JP2023018631A (ja) エッチング方法、半導体装置の製造方法、プログラムおよびプラズマ処理装置
JP2002367924A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid