KR20070061451A - 유전 스택을 제조하는 방법 - Google Patents

유전 스택을 제조하는 방법 Download PDF

Info

Publication number
KR20070061451A
KR20070061451A KR1020060124803A KR20060124803A KR20070061451A KR 20070061451 A KR20070061451 A KR 20070061451A KR 1020060124803 A KR1020060124803 A KR 1020060124803A KR 20060124803 A KR20060124803 A KR 20060124803A KR 20070061451 A KR20070061451 A KR 20070061451A
Authority
KR
South Korea
Prior art keywords
cluster tool
metal containing
metal
layer
chamber
Prior art date
Application number
KR1020060124803A
Other languages
English (en)
Inventor
프라빈 케이. 나완카르
쉬레야스 에스. 커
산카르 무쓰우크리쉬난
라훌 사랑파니
필립 크라우스
크리스 올센
칼레드 제트. 아메드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/298,553 external-priority patent/US20060153995A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070061451A publication Critical patent/KR20070061451A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법이 제공된다. 일 실시예에서, 본 방법은 다수의 증착 챔버를 갖는 클러스터 툴을 제공하는 단계, 상기 클러스터 툴의 제1 챔버에서 금속 함유 산화물층을 기판상에 증착하는 단계, 상기 클러스터 툴의 제2 챔버에서 금속 함유 산화물층을 불활성 플라즈마 프로세스로 처리하는 단계, 상기 클러스터 툴의 제3 챔버에서 금속 함유 산화물층을 어닐링하는 단계, 및 상기 클러스터 툴의 제4 챔버에서 게이트 전극 층을 상기 어닐링된 기판상에 증착하는 단계를 포함한다.

Description

유전 스택을 제조하는 방법{A METHOD FOR FABRICATING A DIELECTRIC STACK}
본 발명의 교시는 첨부 도면과 결합하여 다음의 상세한 설명을 고려함으로써 쉽게 이해될 수 있다:
도1은 본 발명의 일 실시예에서 사용되는 유형의 예시적인 집적 반도체 기판 프로세싱 시스템(예, 클러스터 툴)의 개략도를 도시한다.
도2는 도1의 클러스터 툴에서 유전층을 기판상에 증착하는 예시적인 프로세스의 흐름도를 도시한다.
도3A-3E는 도2에서 언급된 프로세스 시퀀스의 다양한 단계 동안의 기판을 도시한다.
이해를 용이하게 하기 위하여, 도면들에 공통된 동일한 요소들을 지정하기 위하여 가능한 곳에는 동일한 도면부호가 사용되었다. 일 실시예의 요소들 및 특징들은 추가적인 언급 없이도 다른 실시예들에 유용하게 통합될 수 있음이 이해되어야 한다.
그러나, 첨부된 도면은 본 발명의 단지 예시적인 실시예들을 도시하며 따라서 본 발명의 범위를 제한하는 것으로 생각되지 않아야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들에 허용될 수 있기 때문임에 유의하여야 한다.
<도면의 주요부분에 대한 설명>
100: 툴 101: 플랫폼
102: 팩토리 인터페이스 103, 104: 전달 챔버
105, 107: 로봇 106A, 106B: 기판 전달 플랫폼
108~118: 프로세싱 챔버 120: 로드록 챔버
122: 사전세정 로봇 124: 사전세정 챔버
128A, 128B: FOUP 130: CPU
132: 지지 회로 134: 메모리
136: 시스템 제어기 138: 전달 로봇
140: 기판 전달 플랫폼 300: 기판
301: 층 302: 유전층
304: 플라즈마 처리된 층 306: 어닐 후의 층
308: 게이트 전극 층
본 발명은 일반적으로 클러스터 툴에서 물질을 기판에 증착하는 방법에 관한 것이고, 보다 구체적으로는, 통합된 클러스터 툴에서 유전 스택을 형성하는 동안 유전 물질들을 증착하는 방법에 관한 것이다.
집적 회로는, 기판(예, 반도체 웨이퍼)상에 형성되고 회로내에서 다양한 기능을 수행하도록 상호 협동하는 백만개 이상의 마이크로-전자 전계 효과 트랜지스 터(예, 상보형 금속 산화막 반도체(CMOS) 전계 효과 트랜지스터)를 포함한다. CMOS 트랜지스터는 기판에 형성된 소스 및 드레인 영역들 사이에 놓여진 게이트 구조를 포함한다. 게이트 구조는 일반적으로 게이트 전극과 게이트 유전체를 포함한다. 게이트 전극은 게이트 유전체 상부에 놓여져서 게이트 유전체 아래에 있는 드레인과 소스 영역들 사이에 형성된 채널 영역에 있는 전하 캐리어의 흐름을 제어한다. 트랜지스터의 속도를 증가시키기 위하여, 게이트 유전체는 4.0을 초과하는 유전 상수를 갖는 물질로 형성될 수 있다. 본원에서 이러한 유전 물질들은 하이-케이(high-k)라고 한다.
하이-케이 게이트 유전체를 갖는 전계 효과 트랜지스터의 게이트 구조의 제조는 다양한 기판 프로세싱 반응기를 이용하여 수행되는 일련의 프로세싱 단계들(가령, 다중층을 증착시키는 단계)을 포함한다. 게이트 스택 구조 형성 프로세스에서는, 컨포멀(conformal) 막이 요구될 뿐만 아니라, 각 층들 사이의 계면층의 양호한 품질이 필수적이다.
종래의 CMOS 제조 방식에서, 기판은 다양한 반응로가 결합되어 있는 툴들 사이를 통과할 것이 요구된다. 툴들 사이로 기판을 통과시키는 프로세스는 대기압(ambient pressure)에서 전달하기 위하여 첫 번째 툴의 진공 환경으로부터 두 번째 툴의 진공 환경으로의 기판의 이동을 필요로 한다. 대기 환경에서, 제조되고 있는 게이트 구조에 손상을 줄 수 있고 전달하는 동안 각 층들 사이에 바람직하지 않은 계면층, 가령 자연 산화막(native oxide)을 형성할 가능성이 있는 미립자, 습기, 등과 같은 기계적 및 화학적 오염에 기판들이 노출된다. 게이트 구조들이 디 바이스 속도를 증가시키기 위하여 보다 작고/작거나 얇아짐에 따라, 오염 또는 계면층을 형성하는 유해한 효과는 중요한 관심사가 된다. 또한, 클러스터 툴들 사이에 기판을 전달하는 데 사용되는 시간은 전계효과 트랜지스터의 제조에 있어서의 생산성을 감소시킨다.
따라서, 전계 효과 트랜지스터용 게이트 구조의 제조를 위한 프로세스 통합 및 개선된 클러스터 툴에 대한 필요성이 있다.
단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법이 제공된다. 일 실시예에서, 본 방법은 다수의 증착 챔버를 갖는 클러스터 툴을 제공하는 단계, 상기 클러스터 툴의 제1 챔버에서 금속 함유 산화물층을 기판상에 증착하는 단계, 클러스터 툴의 제2 챔버에서 금속 함유 산화물층을 불활성 플라즈마 프로세스로 처리하는 단계, 클러스터 툴의 제3 챔버에서 금속 함유 산화물층을 어닐링하는 단계, 및 클러스터 툴의 제4 챔버에서 게이트 전극 층을 상기 어닐링되고 처리된 금속 함유 산화물층상에 증착하는 단계를 포함한다.
다른 실시예에서, 본 방법은 다수의 증착 챔버를 갖는 클러스터 툴을 제공하는 단계, 상기 클러스터 툴에서 기판을 사전 세정하는 단계, 상기 클러스터 툴의 제1 챔버에서 금속 함유 산화물층을 기판상에 증착하는 단계, 상기 클러스터 툴의 제2 챔버에서 금속 함유 산화물층을 불활성 플라즈마 프로세스로 처리하는 단계, 상기 클러스터 툴의 제3 챔버에서 금속 함유 산화물층을 어닐링하는 단계, 및 상기 클러스터 툴의 제4 챔버에서 게이트 전극 층을 상기 어닐링되고 처리된 금속 함유 산화물층상에 증착하는 단계를 포함한다.
또 다른 실시예에서, 본 방법은 다수의 증착 챔버를 갖는 클러스터 툴을 제공하는 단계, 상기 클러스터 툴에서 기판을 사전 세정하는 단계, 상기 클러스터 툴에서 금속 함유 산화물층을 기판상에 증착하는 단계, 상기 클러스터 툴에서 금속 함유 산화물층을 증착후어닐링 프로세스로 처리하는 단계, 상기 클러스터 툴에서 금속 함유 산화물층을 불활성 플라즈마 프로세스로 처리하는 단계, 클러스터 툴에서 처리된 금속 함유 산화물층을 어닐링하는 단계, 및 상기 클러스터 툴에서 게이트 전극 층을 상기 어닐링되고 처리된 금속 함유 산화물층상에 증착하는 단계를 포함한다.
본 발명의 실시예들은 일반적으로, 전계 효과 트랜지스터에서 사용되는 게이트 스택 층과 같은, 다양한 어플리케이션에서 사용되는 유전체 물질을 제조하는 방법 및 시스템을 제공한다. 일 실시예에서, 유전 물질 또는 유전 스택은 통합된 클러스터 툴에서 증착된다. 다른 실시예에서, 유전체 물질 또는 유전 스택은 금속 산화물을 포함하는 유전층, 가령 하이-케이 물질을 ALD 프로세스에 의해, 기판을 불활성가스 플라즈마 프로세스에 노출시키고, 이후 기판을 열 어닐링 프로세스에 노출시키고, 폴리실리콘 게이트 층 및/또는 금속 게이트 층을 진공을 파괴하지 않고 통합된 클러스터 툴에서(가령, 모든 프로세스들은 인-시츄 방식으로 툴에서 수행된다) 증착하는 것에 의해, 기판상에 증착함으로써 제조된다. 선택적으로, 기판은 제1 유전층이 기판 상부에 인-시츄 방식으로 동일 툴에서 증착되기 이전에 사전 세정될 수 있다.
도1은 본 발명의 일 실시예에서 사용되는 종류의 예시적인 통합된 반도체 기판 프로세싱 시스템(예, 클러스터 툴(100))의 개략도를 도시한다. 본원에 기재된 방법은 필수적인 프로세스 챔버들이 결합된 다른 툴들에서 실시될 수 있다고 생각된다.
툴(100)은 진공 밀봉(vaccum-tight) 프로세싱 플랫폼(101), 팩토리 인터페이스(102), 및 시스템 제어기(136)를 포함한다. 플랫폼(101)은 다수의 프로세싱 모듈(110, 108, 114, 112, 118, 116, 124)과 진공 기판 전달 챔버(103, 104)에 결합된 하나 이상의 로드록 챔버(로드록 챔버(120)가 도시되어 있음)를 포함한다. 팩토리 인터페이스(102)는 로드록 챔버(120)에 의해 전달 챔버(104)에 결합된다.
일 실시예에서, 팩토리 인터페이스(102)는 하나 이상의 도킹스테이션, 하나 이상의 기판 전달 로봇(138), 하나 이상의 기판 전달 플랫폼(140), 하나 이상의 사전세정(preclean) 챔버(124), 및 사전세정 로봇(122)을 포함한다. 도킹스테이션은 하나 이상의 전면 개방 단일화 용기(front opening unified pod; FOUP)를 수용하도록 구성되어 있다. 두 개의 FOUP(128A, 128B)가 도1의 실시예에 도시되어 있다. 기판 전달 로봇(138)은 기판을 팩토리 인터페이스(102)로부터 사전세정 프로세스가 수행되는 사전세정 챔버(124)로 전달하도록 구성되어 있다. 사전세정 로봇(122)은 기판을 사전세정 챔버(124)로부터 로드록 챔버(120)로 전달하도록 구성되어 있다. 대안으로, 기판은 사전세정 챔버(124)를 우회하여 팩토리 인터페이스(102)로부터 로드록 챔버(120)로 직접 전달될 수 있다.
로드록 챔버(120)는 팩토리 인터페이스(102)에 결합된 제1 포트와 제1 전달 챔버(104)에 결합된 제2 포트를 구비한다. 로드록 챔버(120)는, 필요할 때 챔버(120)를 펌프다운하고 배기하여 기판을 전달 챔버(104)의 진공 환경과 팩토리 인터페이스(102)의 실질적으로 주변의(가령, 대기의) 환경 사이에서 기판을 용이하게 통과시키는 압력 제어 시스템(비도시)에 결합되어 있다.
제1 전달 챔버(104) 및 제2 전달 챔버(103)는 각각 내부에 배치된 제1 로봇(107)과 제2 로봇(105)을 구비한다. 두 개의 기판 전달 플랫폼(106A, 106B)은 전달 챔버(104)에 배치되어 로봇들(105, 107) 사이에서 기판의 전달을 용이하게 한다. 플랫폼(106A, 106B)은, 전달 챔버(103, 104)에 개방되거나, 상이한 동작 압력이 전달 챔버(103, 104)의 각각에 유지될 수 있도록 전달 챔버(103, 104)로부터 선택적으로 고립(즉, 밀봉)될 수 있다.
제1 전달 챔버(104)에 배치된 로봇(107)은 로드록 챔버(120), 프로세싱 챔버(116, 118), 및 기판 전달 플랫폼(106A, 106B) 사이에서 기판들을 전달할 수 있다. 제2 전달 챔버(103)에 배치된 로봇(105)은 기판 전달 플랫폼(106A, 106B) 및 프로세싱 챔버(112, 114, 110, 108) 사이에서 기판들을 전달할 수 있다.
일 실시예에서, 제1 전달 챔버(104)에 결합된 프로세싱 챔버들은 MOCVD(Metalorganic chemical vapor epitaxy) 챔버(118) 및 DPN(Decoupled Plasma Nitridation) 챔버(116)일 수 있다. 제2 전달 챔버(103)에 결합된 프로세싱 챔버들은 RTP(Rapid Thermal Process) 챔버(114), CVD(chemical vapor deposition) 챔버(110), 제1 ALD(atomic layer deposition) 챔버(108), 제2 ALD 챔버(112)일 수 있다. 적절한 ALD, CVD, PVD, DPN, RTP, MOCVD 프로세싱 챔버는 캘리포니아 산타클라라 소재의 어플라이드 머티어리얼스사로부터 이용가능하다.
시스템 제어기(136)는 통합된 프로세싱 툴(100)에 결합된다. 시스템 제어기(136)는 툴(100)의 프로세스 챔버들의 직접 제어를 이용하거나, 대안으로, 프로세스 챔버와 관련된 컴퓨터들(또는 제어기들) 및 툴(100)을 제어함으로써 툴(100)의 동작을 제어한다. 동작에 있어서, 시스템 제어기(136)에 의해, 각 챔버 및 시스템으로부터의 데이터 수집 및 피드백이 시스템(100)의 성능을 최적화할 수 있다.
시스템 제어기(136)는 일반적으로 중앙처리장치(CPU)(136), 메모리(134), 및 지지 회로(132)를 포함한다. CPU(130)는 산업적 세팅에서 사용될 수 있는 범용컴퓨터 프로세서의 임의의 형태 중 하나일 수 있다. 지지 회로(132)는 일반적으로 CPU(130)에 결합되며 캐시, 클록 회로, 입출력 서브시스템, 전원공급장치 등을 포함할 수 있다. 도2를 참조하여 이하에 기재되는 유전체 증착 프로세스(200)와 같은 소프트웨어 루틴은, CPU(130)에 의해 실행될 때 CPU를 특수용 컴퓨터(제어기)(136)로 변환시킨다. 소프트웨어 루틴은 툴(100)로부터 원격 위치된 제2 제어기(비도시)에 의해 저장되고/저장되거나 실행되어도 좋다.
도2는 전술한 툴(100)과 같은 통합된 클러스터 툴에서 유전층들을 기판상에 증착하기 위한 프로세스(200)의 일 실시예의 흐름도를 도시한다. 도3A-3E는 프로세스(200)의 서로 다른 단계들에 해당하는 개략적인 단면도이다.
방법(200)은 툴(100)에 기판(300)을 위치시키는 것과 함께 단계(202)에서 시작한다. 도3A에 도시된 기판(300)은 막 프로세싱이 그 상부에 실행되는 임의의 기 판 또는 재료 표면을 말한다. 예를 들어, 기판(300)은 결정 실리콘(예, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드(strained) 실리콘, 실리콘 게르마늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 웨이퍼 및 패터닝되거나 패터닝되지 않은 웨이퍼 SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 글라스, 사파이어와 같은 물질일 수 있다. 기판(300)은 그 상부에 배치된 층(301)을 포함한다. 층(301)이 존재하지 않는 실시예들에서, 층(301) 위에서 수행되는 것으로 기재되는 프로세스들은 대안으로 기판(300)위에 있을 수 있다.
층(301)은 금속, 금속 질화물, 금속 합금, 및 다른 전도성 물질, 베리어 층, 티타늄, 티타늄 질화물, 텅스텐 질화물, 탄탈 및 탄탈 질화물, 유전체 물질, 또는 실리콘과 같은 임의의 물질일 수 있다. 기판(300)은 200mm 또는 300mm 직경 웨이퍼뿐만 아니라 직사각형 또는 정사각형 창유리(pane)과 같은 다양한 치수를 가질 수 있다. 달리 지시되지 않으면, 본원에 기재된 실시예들과 예시들은 200mm 또는 300mm 직경을 갖는 기판상에서 수행된다. 층(301)을 갖거나 갖지 않는 기판(300)은 상부 표면을 폴리싱, 에칭, 감소(reduce), 산화, 하이드록시레이트(hydroxylate), 어닐 및/또는 베이크(bake)하기 위하여 사전처리 프로세스에 노출될 수 있다.
선택적인 단계(203)에서, 기판(300)상에 배치된 층(301)의 사전세정이 수행된다. 사전세정 단계(203)는 층(301)의 표면상에 노출된 혼합물이 작용기(functional group)에서 종결하도록 구성된다. 층(301)의 표면상에 부착되고/부 착되거나 형성된 작용기들은 수산기(OH), 알콕시(OR, R=Me, Et, Pr 또는 Bu), 할록실(IX, X=F, Cl, Br 또는 I), 할로겐화물(F, Cl, Br 또는 I), 산소 라디컬 및 아미노(NR 또는 NR2, R=H, Me, Et, Pr 또는 Bu)를 포함한다. 사전세정 프로세스는 층(301)을, NH3, B2H6, SiH4, SiH6, H2O, HF, HCl, O2, O3, H2O, H2O2, H2, 원자-H, 원자-N, 원자-O, 알콜, 아민, 이들의 플라즈마, 이들의 유도체 또는 이들의 조합과 같은 반응제에 노출시킬 수 있다. 작용기들은 층(301)의 표면에 부착하기 위하여 입사하는 화학 전구체에 대한 베이스를 제공할 수 있다. 일 실시예에서, 사전세정 프로세스는 층(301)의 표면을 약 1초 내지 약 2분의 기간 동안 반응제에 노출시킬 수 있다. 다른 실시예에서, 노출 기간은 약 5초 내지 약 60초일 수 있다. 사전세정 프로세스들은 또한 층(301)의 표면을 RCA 용액(SC1/SC2), HF-최종 용액(HF-last solution), WVG 또는 ISSG 시스템으로부터의 웨이퍼 증기, 과산화물 용액, 산성 용액, 기본 용액, 이들의 플라즈마, 이들의 유도체, 및 이들의 조합에 노출하는 단계를 포함할 수 있다.
사전세정 프로세스의 일 실시예에서, 약 10Å 또는 그 미만, 가령 약 5Å 내지 약 7Å의 두께를 갖는 화학적 산화물층을 형성하기 위하여 기판(300)을 습식 세정(wet-clean) 프로세스에 노출시키기 전에 자연 산화물층은 제거된다. 자연 산화물은 HF-최종 용액에 의해 제거될 수 있다. 습식 세정 프로세스는 어플라이드 머티어리얼스사로부터 이용가능한 TEMPESTTM 습식 세정 시스템에서 수행될 수 있다. 다른 예에서, 기판(300)은 약 15초 동안 WVG 시스템으로부터 유도된 수증기에 노출 된다.
단계 204에서, 유전층(302)은 도3B에 도시된 것처럼, 프로세스 챔버내에서 층(301) 위에 증착된다. 유전층(302)은 금속 산화물일 수 있고 ALD 프로세스, MOCVD 프로세스, 종래의 CVD 프로세스 또는 PVD 프로세스에 의해 증착될 수 있다. 또한, 유전층(302)은 4.0을 초과하는 유전 상수를 갖는 유전층일 수 있다. 이러한 프로세스들은 상술한 챔버들 중 하나에서 수행될 수 있다.
일 실시예에서, 유전층(302)은 산화 가스 및 적어도 하나의 전구체를 함유하는 증착 프로세스 챔버에서 증착될 수 있고, 상기 전구체의 예로는, 여기에 공급되는 하프늄 전구체, 지르코늄 전구체, 실리콘 전구체, 알루미늄 전구체, 탄탈 전구체, 티타늄 전구체, 란타늄 전구체 또는 이들의 조합이 있다. 증착 프로세스 동안 형성될 수 있는 유전체 물질의 예로는 하프늄 산화물, 지르코늄 산화물, 란타늄 산화물, 탄탈 산화물, 티타늄 산화물, 알루미늄 산화물, 이들의 유도체, 및 이들의 조합을 포함한다.
일 실시예에서, ALD 프로세스는 층(302)을 형성하기 위하여 금속 산화물 물질을 증착할 수 있다. 일 실시예에서, ALD 프로세스는 1 Torr 내지 약 100 Torr, 또는 약 1 Torr 내지 약 20 Torr, 또는 약 1 Torr 내지 약 10 Torr의 챔버압에서 수행된다. 기판(300)의 온도는 약 70 ℃ 내지 약 1,000 ℃, 또는 약 100 ℃ 내지 약 650 ℃, 또는 약 250 ℃ 내지 약 500 ℃에서 유지될 수 있다.
층(302)을 증착하기에 적절한 ALD 프로세스의 일 예에서, 하프늄 전구체는 약 5sccm 내지 약 200 sccm의 속도로 프로세스 챔버로 도입된다. 하프늄 전구체는 가령, 질소와 같은 캐리어 가스와 함께 총 유속 약 50 sccm 내지 약 1,000 sccm으로 도입되어도 좋다. 하프늄 전구체는 특정 프로세스 조건, 하프늄 전구체 또는 증착된 하프늄 산화물 물질의 소정의 화합물에 따라서, 초당 약 0.1 펄스 내지 초당 약 10 펄스의 속도로 프로세스 챔버로 펄싱될(pulsed) 수 있다. 일 실시예에서, 하프늄 전구체는 초당 약 1 펄스 내지 초당 약 5 펄스, 예를 들어 초당 약 3 펄스의 속도로 프로세스 챔버로 펄싱된다. 다른 실시에에서, 하프늄 전구체는 초당 약 0.1 펄스 내지 초당 약 1 펄스, 예를 들어 초당 약 0.5 펄스의 속도로 프로세스 챔버로 펄싱된다. 일 실시예에서, 하프늄 전구체는 하프늄 테트라클로라이드(HfCl4)일 수 있다. 다른 예에서, 하프늄 전구체는 테트라키스(디알킬아미노)하프늄 화합물, 가령 테트라키스(디에틸아미노)하프늄 화합물((Et2N)4Hf 또는 TDEAH)일 수 있다.
하프늄 전구체는 일반적으로 하프늄 전구체를 포함하는 앰풀(ampoule)을 통해 캐리어 가스를 도입함으로써 프로세스 챔버로 분배된다. 앰풀은 앰풀, 버블, 카트리지, 또는 화학 전구체를 함유하거나 분산하기 위하여 사용되는 다른 용기를 포함할 수 있다. PROE-VAPTM과 같은 적절한 앰풀이 코네티컷 댄베리(Danbury) 소재의 어드밴스드 테크놀로지 머티어리얼스 사로부터 이용가능하다. 일 실시예에서, 앰풀은 약 150 ℃ 내지 약 200 ℃의 온도에서 HfCl4를 함유한다. 다른 실시예에서, 앰풀은 액체 전구체(예, TDEAH, TDMAH, TDMAS 또는 Tris-DMAS)를 포함할 수 있고, 가열된 캐리어 가스로 액체 전구체를 증기화하기 위해 사용되는 인젝터 밸브 시스 템을 포함하는 액체 전달 시스템의 일부일 수 있다. 일반적으로, 앰풀은 138 kPa (약 20 psi) 내지 약 414 kPa (약 60 psi)로 가압될 수 있고 약 100 ℃ 이하, 가령 약 20 ℃ 내지 약 60 ℃의 온도로 가열될 수 있다.
산화 가스는 약 0.05 sccm 내지 약 1,000 sccm, 가령, 약 0.5 sccm 내지 약 100 sccm의 유속으로 프로세스 챔버에 도입될 수 있다. 산화 가스는 초당 약 0.05 펄스 내지 초당 약 10 펄스, 가령, 초당 약 0.08 펄스 내지 초당 약 3 펄스, 및 다른 실시예에서는, 초당 약 0.1 펄스 내지 초당 약 2 펄스로 프로세스 챔버로 펄싱된다. 일 실시예에서, 산화 가스는 초당 약 1 펄스 내지 초당 약 5 펄스, 가령 초당 약 1.7 펄스의 속도로 펄싱된다. 다른 실시예에서, 산화 가스는 초당 약 0.1 펄스 내지 초당 약 3 펄스, 가령 초당 약 0.5 펄스의 속도로 펄싱된다.
많은 전구체들이 유전층(302)용의 물질을 증착하기 위한 본 발명의 실시예들의 범위에 든다. 중요한 전구체 특징은 양호한 증기압이다. 대기 온도 및 압력에 있는 전구체들은 기체, 액체, 또는 고체일 수 있다. 그러나, 휘발성 전구체들은 ALD 챔버내에서 사용된다. 유기금속 화합물은 하나 이상의 금속 원자와, 가령 아미드, 알킬, 알콕시, 알킬아미노, 또는 아닐리드와 같은 하나 이상의 유기물 함유 작용기를 포함한다. 전구체는 유기금속, 무기 또는 할로겐 화합물을 포함할 수 있다.
예시적인 하프늄 전구체 화합물은 할로겐, 알킬아미노, 시클로펜타디에닐(cyclopentadienyl), 알킬, 알콕사이드, 이들의 유도체, 또는 이들의 조합과 같은 리간드를 함유하는 하프늄 화합물을 포함한다. 하프늄 전구체로써 유용한 하프 늄 할로겐 화합물은 HfCl4, HfI4, 및 HfBr4을 포함한다. 하프늄 전구체로써 유용한 하프늄 알킬아미노 화합물은 (RR′N)4Hf를 포함하며, R 또는 R′은 독립적으로 수소, 메틸, 에틸, 프로필 또는 부틸이다. 하프늄 함유 물질을 증착하는 데 유용한 하프늄 전구체는 (Et2N)4Hf, (Me2N)4Hf, (MeEtN)4Hf, (tBuC5H4)2HfCl2, (C5H5)2HfCl2, (EtC5H4)2HfCl2, (Me5C5)2HfCl2, (Me5C5)HfCl3, (iPrC5H4)2HfCl2, (iPrC5H4)HfCl3, (tBuC5H4)2HfMe2, (acac)4Hf, (hfac)4Hf, (tfac)4Hf, (thd)4Hf, (NO3)4Hf, (tBuO)4Hf, (iPrO)4Hf, (EtO)4Hf, (MeO)4Hf 또는 이들의 유도체를 포함한다. 또한 본원에서 증착 프로세스 동안 사용되는 하프늄 전구체는 HfCl4, (Et2N)4Hf 또는 (Me2N)4Hf를 포함한다.
증착 프로세스 이후에, 기판(300)은 단계 205에서 선택적으로 증착후어닐(PDA) 프로세스에 노출될 수 있다. 상부에 배치된 유전층(302)을 갖는 기판(300)은 어닐링 챔버(114), 가령 RADIANCETM RTP 챔버로 전달된다. 어닐링 챔버(114)가 증착 챔버와 동일한 클러스터 툴에 있기 때문에, 기판(300)은 대기 환경에 노출되지 않고 어닐된다. 기판(300)은 약 600℃ 내지 약 1,200℃, 또는 약 600℃ 내지 약 1,150℃, 또는 약 600℃ 내지 약 1,000℃의 온도로 가열될 수 있다. PDA 프로세스는 약 1초 내지 약 5분, 가령 약 1분 내지 약 4분, 및 다른 실시예에 서, 약 2분 내지 약 4분의 시간 기간 동안 지속될 수 있다. 일반적으로, 챔버 대기는 하나 이상의 어닐링 가스, 가령 산소(O2), 오존(O3), 원자 산소(O), 물(H2O), 산화질소(NO), 아산화질소(N2O), 이산화질소(NO2), 오산화이질소(N2O5), 질소(N2), 암모니아(NH3), 히드라진 (N2H4), 이들의 유도체 또는 이들의 조합을 포함한다. 종종 어닐링 가스는 질소 및 하나 이상의 산소 함유 가스, 가령 산소를 포함한다. 챔버는 약 5 Torr 내지 약 100 Torr, 가령 약 10 Torr의 압력을 가진다. PDA 프로세스의 일 예에서, 산화물 층을 포함하는 기판(300)은 산소 대기 내에 약 4분 동안 약 600℃의 온도로 가열된다.
단계 206에서, 유전층(302)은 불활성 플라즈마 프로세스에 노출되어 도3C에 도시된 것처럼, 플라즈마 처리된 층(304)을 형성하는 한편 유전체 물질을 치밀하게 한다. 불활성 플라즈마 프로세스는, 불활성 가스를 분리된 플라즈마 질소화물(DPN) 챔버(즉, DPN 챔버(116))로 유동시킴으로써 수행되는 분리된 불활성 가스 플라즈마 프로세스, 또는 불활성 가스를 원격 플라즈마 시스템에 의해 갖추어진 프로세스 챔버로 유동시키는 것에 의한 원격 불활성 가스 플라즈마 프로세스를 포함한다.
불활성 플라즈마 프로세스의 일 실시예에서, 기판(300)은 DPN 챔버(114)로 전달된다. DPN 챔버는 유전층(302)을 증착하기 위해 사용되는 ALD 챔버 및 증착후 어닐링을 위해 선택적으로 사용되는 챔버와 동일한 클러스터 툴에 있으므로, 기판(300)은 클러스터 툴들 사이에 전달하는 것과 관련하여 대기 환경에 노출되지 않 는다. 기판의 전달 동안에, 질소 가스는 전달 챔버(104, 103)에서 정화되어 이들 사이의 계면층의 성장을 피한다. 불활성 플라즈마 프로세스에서, 유전층(302)은 DPN 챔버로 아르곤을 유동시킴으로써 형성되는 이온 아르곤으로 포격된다. 불활성 플라즈마 프로세스에서 사용될 수 있는 가스들은 질소 함유 가스, 아르곤, 헬륨, 네온, 크세논 또는 이들의 조합을 포함한다.
만약 임의의 질소가 유동되거나 임의의 불활성 가스와 함께 유동된다면, 질소는, 가령 금속 산화물을 금속 옥시나이트라이드(oxynitride)로 변환하는 것과 같이, 유전체 물질을 질산화할 것이다. 질산화 프로세스용으로 사용되는 DPN 챔버에 존재할 수 있는 질소의 미소량은 플라즈마 프로세스를 수행하는 동안 불활성 가스와 뜻하지 않게 결합할 수 있다. 불활성 플라즈마 프로세스는 하나 이상의 불활성 가스 또는 질소의 미소량만을 포함하는 가스를 사용한다. 일 실시예에서, 불활성 가스 내의 잔여 질소에 기인한 질소 농도는 부피로 약 1 퍼센트 이하, 가령 약 0.1 퍼센트 미만이고, 일 실시예에서, 약 100ppm 미만, 가령 약 50ppm 미만이다. 일 예에서, 불활성 플라즈마 프로세스는 아르곤을 포함하고 질소가 없거나 실질적으로 질소가 없다. 따라서, 불활성 플라즈마 프로세스는 유전체 물질의 안정성 및 밀도를 증가시키는 한편, 등가의 산화물 두께(EOT) 단위를 감소시킨다.
불활성 플라즈마 프로세스는 약 10초 내지 약 5분, 가령 약 30초 내지 약 4분, 일 실시예에서는, 약 1분 내지 약 3분의 시간 기간 동안 진행한다. 또한, 불활성플라즈마 프로세스는 500 watts 내지 약 3,000 watts, 가령, 약 700 watts 내지 약 2,500 watts, 가령, 약 900 watts 내지 약 1,800 watts의 플라즈마 전력 설 정에서 수행된다. 일반적으로, 플라즈마 프로세스는 약 50 퍼센트 내지 약 100 퍼센트의 듀티 사이클로, 및 약 10 kHz의 펄스 주파수에서 수행된다. DPN 챔버는 약 10 mTorr 내지 약 80 mTorr의 압력을 가진다. 불활성 가스는 약 10 sccm(standard cubic centimeters per minute) 내지 약 5 slm(standard liters per minute), 또는 약 50 sccm 내지 약 750 sccm, 또는 약 100 sccm 내지 약 500 sccm의 유속을 가질 수 있다. 일 실시예에서, 불활성 플라즈마 프로세스는 DPN 챔버에서 생성된 질소 없는 아르곤 플라즈마이다.
또 다른 실시예에서, 유전층(302)을 증착하기 위해 사용되는 프로세스 챔버는 단계 206의 불활성 플라즈마 프로세스 동안 또한 사용되어, 프로세스 챔버들 사이에서 기판(300)을 전달하지 않고 플라즈마 처리된 층(304)을 형성한다. 예를 들어, 원격 아르곤 플라즈마는, 유전층(302)을 증착하기 위하여 사용되었던, ALD 챔버 또는 CVD 챔버와 같은 원격 플라즈마 디바이스와 함께 구성된 프로세스 챔버내에 플라즈마 처리된 층(304)을 직접 형성하기 위하여 유전층(302)에 노출된다. 다른 불활성 프로세스가, 층(302)을 레이저로 처리하는 것과 같이, 플라즈마 처리된 층(304)과 등가의 층을 형성하기 위하여 사용될 수 있다.
단계 208에서, 기판(300)상에 증착된 플라즈마 처리된 층(304)은 열 어닐링 프로세스에 노출된다. 일 실시예에서, 기판(300)은 RTP 챔버(114)와 같은 어닐링 챔버에 전달된다. 적절한 RTP 챔버의 예는, 어플라이드 머티어리얼스 사로부터 이용가능하고, 열 어닐링 프로세스에 노출되는 CENTURATM RADIANCETM RTP 챔버이다. 어닐링 챔버(114)는 증착 챔버 및 질화 챔버처럼 클러스터 툴(100)상에 있기 때문에, 플라즈마 처리된 층(304)은 클러스터 툴들 사이에 기판을 전달하는 것과 관련하여 대기 환경에 노출되는 것 없이 어닐될 수 있다.
어닐링 프로세스의 일 실시예에서, 플라즈마 처리된 층(304)은 약 600 ℃ 내지 약 1,200 ℃의 온도로 가열될 수 있다. 다른 실시예에서, 온도는 약 700 ℃ 내지 약 1,150 ℃일 수 있다. 또 다른 실시예에서, 플라즈마 처리된 층(304)은 약 800 ℃ 내지 약 1,000 ℃의 온도로 가열될 수 있다. 열 어닐링 프로세스는 상이한 지속시간을 가질 수 있다. 일 실시예에서, 열 어닐링 프로세스의 지속시간은 약 1초 내지 약 120 초일 수 있다. 다른 실시예에서 열 어닐링 프로세스의 지속시간은 약 2초 내지 약 60초일 수 있다. 또 다른 실시예에서, 열 어닐링 프로세스은 약 5초 내지 약 30초의 지속시간을 가질 수 있다. 일반적으로 챔버 대기는 하나 이상의 어닐링 가스, 가령 산소(O2), 오존(O3), 원자 산소(O), 물(H2O), 산화 질소(NO), 아산화질소(N2O), 이산화질소(NO2), 오산화이질소(N2O5), 질소(N2), 암모니아(NH3), 히드라진 (N2H4), 이들의 유도체 또는 이들의 조합을 포함한다. 어닐링 가스는 질소 및 하나 이상의 산소 함유 가스, 가령 산소를 포함한다. 챔버는 약 5 Torr 내지 약 100 Torr, 가령 약 10 Torr의 압력을 가진다. 열 어닐링 프로세스의 일 예에서, 기판(300)은 산소 대기 내에서 약 1,050 ℃의 온도로 약 15초 동안 가열된다. 다른 예에서, 기판(300)은 어닐링 프로세스 동안 질소 및 산소의 등가의 부피량을 포함하는 대기 내에서 약 1,100 ℃의 온도로 약 25초 동안 가열된다.
열 어닐링 프로세스는 플라즈마 처리된 층(304)을 도3D에 도시된 것처럼 유전체 물질 또는 어닐 후의 층(306)으로 변환한다. 열 어닐링 프로세스는 단계 206 동안 플라즈마 포격에 의한 임의의 손상을 치료하며 어닐 후의 층(306)의 고정된 전하를 감소시킨다. 유전체 물질은 비정질로 유지되며 상이한 범위의 질소 농도를 가질 수 있다. 일 실시예에서, 질소 농도는 약 5 원자 퍼센트 내지 약 25 원자 퍼센트이다. 다른 실시예에서, 질소 농도는 약 10 원자 퍼센트 내지 약 20 원자 퍼센트, 가령, 약 15 원자 퍼센트이다. 어닐 후의 층(306)은 상이한 막 두께를 가질 수 있다. 일 실시예에서, 두께는 약 5 Å 내지 약 300 Å일 수 있다. 다른 실시예에서, 두께는 약 10 Å 내지 약 200 Å일 수 있다. 또 다른 실시예에서, 두께는 약 20 Å 내지 약 100 Å일 수 있다. 또 다른 예에서, 어닐 후의 층(306)은 약 10 Å 내지 약 60 Å, 가령 약 30 Å 내지 약 40 Å의 두께를 가질 수 있다.
단계 210에서, 게이트 전극 층(308)은 도3E에 도시된 것처럼 어닐된 유전층(306) 상부에 증착된다. 게이트 전극 층(308)은 미리 결정된 디바이스 요건에 대하여 선택된 물질로부터 형성될 수 있다. 일반적으로, 게이트 전극 층(308)은 CVD 프로세스, 가령 MOCVD, LPCVD, PECVD, VPE(Vapor Phase Epitaxy), ALD 또는 PVD를 이용하여 형성될 수 있다. 일 실시예에서, 게이트 전극 층(308)은 다결정 실리콘, 비정질 실리콘, 또는 LPCVD 챔버(즉, 증착 챔버(110))를 이용하여 증착된 다른 적절한 물질일 수 있다. 하나의 적절한 챔버는 어플라이드 머티어리얼스사로부터 이용가능한 POLYGen 챔버이다. 다른 실시예에서, 게이트 전극 층(308)은 ALD 또는 PVD 챔버에 증착된 금속 및/또는 금속 함유 화합물을 포함할 수 있다. 일 예 시적인 실시예에서, 게이트 전극 층(308)은 탄탈 실리콘 질화물(TaN)로 형성된다. 대안의 실시예에서, 게이트 전극 층(308)은 티타늄(Ti), 탄탈(Ta), 루테늄(Ru), 몰리브덴(Mo) 등과 같은 금속 및/또는 탄탈 질화물(TaN), 티타늄 질화물(TiN), 탄탈 실리콘 질화물(TaSiN), 티타늄 실리콘 질화물(TiSiN), 탄탈 탄화물(TaC), 티타늄 알루미늄 질화물(TiAlN), 루테늄 탄탈(RuTa), 몰리브덴 질화물(MoN), 텅스텐 질화물(WN) 등과 같은 금속 함유 화합물을 포함할 수 있다. 또 다른 실시예에서, 게이트 전극 층(308)은 그 상부에 다결정 실리콘 또는 비정질 실리콘으로 캡핑된 금속 함유 화합물 및/또는 금속을 포함할 수 있다. 일 예에서, 게이트 전극 층은 티타늄(Ti), 탄탈(Ta), 루테늄(Ru), 몰리브덴(Mo) 등과 같은 금속층일 수 있고, 이후에 그 상부에 다결정 실리콘 또는 비정질 실리콘으로 캡핑된다. 다른 예에서, 게이트 층은 티타늄(Ti), 탄탈(Ta), 루테늄(Ru), 몰리브덴(Mo) 등과 같은 금속 및/또는 탄탈 질화물(TaN), 티타늄 질화물(TiN), 탄탈 실리콘 질화물(TaSiN), 티타늄 실리콘 질화물(TiSiN), 탄탈 탄화물(TaC), 티타늄 알루미늄 질화물(TiAlN), 루테늄 탄탈(RuTa), 몰리브덴 질화물(MoN), 텅스텐 질화물(WN) 등과 같은 금속 함유 화합물을 포함할 수 있고, 이후에 그 상부에 다결정 실리콘 또는 비정질 실리콘으로 캡핑된다. 이 모든 금속들, 금속 함유 게이트 층, 또는 실리콘 층은 모두 어플라이드 머티어리얼스사로부터 이용가능한 ALD, CVD, 또는 PVD 챔버에서 수행될 수 있다. 게이트 전극 층(308)은 증착 챔버, 질화 챔버, 및 열 어닐링 챔버가 결합된 클러스터 툴(100)에서 증착되기 때문에, 기판(300)은 클러스터 툴들 사이에 전달하는 것과 관련하여 대기 환경에 노출되지 않는다.
따라서, 전계 효과 트랜지스터용 게이트 제조를 위해 사용될 수 있는 유전체 물질을 제조하는 방법이 제공되었다. 본 방법은 통합된 클러스터 툴에서 유전체 물질 또는 유전체 스택의 제조 및 증착을 허용하며, 이에 의해 종래의 제조 기법과 관련하여 툴에서 툴로의 전달에 기인하는 오염에 대한 노출을 제거한다.
전술한 것이 본 발명의 실시예들에 관한 것이지만, 다른 그리고 추가적인 본 발명의 실시예들이 그 기본적인 범위를 일탈하지 않고 개량될 수 있고, 그 범위는 이하의 청구범위에 의해 결정된다.

Claims (28)

  1. 단일 클러스터 툴(cluster tool)에서 유전 물질을 기판상에 형성하는 방법으로서,
    다수의 증착 챔버를 갖는 클러스터 툴을 제공하는 단계;
    상기 클러스터 툴의 제1 챔버에서 금속 함유 산화물층을 기판상에 증착하는 단계 - 상기 금속 함유 산화물층은 하이-케이(high-k) 물질임 -;
    상기 클러스터 툴의 제2 챔버에서 금속 함유 산화물층을 불활성 플라즈마 프로세스로 처리(treating)하는 단계;
    상기 클러스터 툴의 제3 챔버에서 상기 처리된 금속 함유 산화물층을 어닐링하는 단계; 및
    상기 클러스터 툴의 제4 챔버에서 금속 함유 게이트 전극 층을 상기 어닐링되고 처리된 금속 함유 산화물층상에 증착하는 단계를 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  2. 제1항에 있어서, 상기 방법은,
    상기 금속 함유 산화물층을 증착하기 전에 상기 기판으로부터 산화물층을 제거하기 위하여, 상기 클러스터 툴의 사전 세정(precleanig) 챔버에서 상기 기판을 사전 세정하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  3. 제1항에 있어서, 상기 방법은,
    상기 불활성 플라즈마 프로세스 이전에 상기 금속 함유 산화물층을 상기 클러스터 툴의 증착후어닐(post deposition anneal) 프로세스에 노출시키는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  4. 제2항에 있어서, 상기 방법은,
    상기 클러스터 툴 내에 있는 기판을 사전세정 챔버로부터 로드록 챔버(load lock chamber)를 통하여 제1 챔버로 전달하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  5. 제1항에 있어서, 상기 금속 함유 산화물층은 하프늄, 탄탈, 티타늄, 알루미늄, 지르코늄, 란타늄, 및 이들의 조합으로 이루어지는 군으로부터 선택된 하나 이상의 요소를 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  6. 제1항에 있어서, 상기 금속 함유 산화물층을 불활성 플라즈마 프로세스로 처리하는 단계는,
    질소 함유 가스, 아르곤, 헬륨, 또는 네온 중 하나 이상을 포함하는 불활성 가스로부터 플라즈마를 형성하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  7. 제1항에 있어서, 상기 금속 함유 산화물층을 불활성 플라즈마 프로세스로 처리하는 단계는,
    상기 제2 챔버에 플라즈마를 유지하기 위하여, 약 500 watts 내지 약 3,000 watts의 전력을 인가하는 단계; 및
    상기 금속 함유 산화물층을 약 30초 내지 약 5분 노출시키는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  8. 제1항에 있어서, 상기 금속 함유 산화물층을 어닐링하는 단계는,
    상기 금속 함유 산화물층을 약 600 내지 약 1,200 ℃로 약 1초 내지 약 120초의 지속시간 동안 유지하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  9. 제8항에 있어서, 상기 금속 함유 산화물층을 어닐링하는 단계는,
    상기 제3 챔버로 산소 가스를 유동시키는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  10. 제1항에 있어서, 상기 금속 함유 게이트 전극 층을 증착하는 단계는,
    제1 금속 함유 게이트 전극 층을 증착하는 단계; 및
    상기 제1 금속 함유 게이트 전극 층에 제2 금속 함유 게이트 전극 층을 증착하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  11. 제10항에 있어서, 상기 제1 금속 함유 게이트 전극 층은, 탄탈 질화물(TaN), 티타늄 질화물(TiN), 탄탈 실리콘 질화물(TaSiN), 티타늄 실리콘 질화물(TiSiN), 탄탈 탄화물(TaC), 티타늄 알루미늄 질화물(TiAlN), 루테늄 탄탈(RuTa), 몰리브덴 질화물(MoN), 또는 텅스텐 질화물(WN) 중 하나 이상인, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  12. 제11항에 있어서, 상기 제2 금속 함유 게이트 전극 층은, 티타늄, 탄탈, 루테늄 및 몰리브덴으로 이루어진 군으로부터 선택된 금속 층인, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  13. 제11항에 있어서, 상기 제2 금속 함유 게이트 전극 층은, 탄탈 질화물(TaN), 티타늄 질화물(TiN), 탄탈 실리콘 질화물(TaSiN), 티타늄 실리콘 질화물(TiSiN), 탄탈 탄화물(TaC), 티타늄 알루미늄 질화물(TiAlN), 루테늄 탄탈(RuTa), 몰리브덴 질화물(MoN), 또는 텅스텐 질화물(WN) 중 하나 이상인, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  14. 제10항에 있어서, 상기 제2 금속 함유 게이트 전극 층을 증착하는 단계는,
    상기 제2 금속 함유 게이트 전극 층에 금속 층을 증착하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  15. 제14항에 있어서, 상기 금속 층은 티타늄, 탄탈, 루테늄 또는 몰리브덴 중 하나 이상인, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  16. 제1항에 있어서, 상기 제2 금속 함유 게이트 전극 층을 증착하는 단계는,
    상기 금속 함유층에 폴리실리콘 층을 증착하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  17. 제10항에 있어서, 상기 제2 금속 함유 게이트 전극 층을 증착하는 단계는,
    상기 금속 함유층의 상부에 폴리실리콘 층을 증착하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  18. 제14항에 있어서, 상기 금속 층을 증착하는 단계는,
    상기 금속 층의 상부에 폴리실리콘 층을 증착하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  19. 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법으로서,
    다수의 증착 챔버를 갖는 클러스터 툴을 제공하는 단계;
    상기 클러스터 툴에서 기판을 사전세정하는 단계;
    상기 클러스터 툴에서 금속 함유 산화물층을 기판상에 증착하는 단계;
    상기 클러스터 툴에서 금속 함유 산화물층을 증착후 어닐 프로세스로 어닐링하는 단계;
    상기 클러스터 툴에서 금속 함유 산화물층을 불활성 플라즈마 프로세스로 처리하는 단계;
    상기 클러스터 툴에서 상기 처리된 금속 함유 산화물층을 어닐링하는 단계;
    상기 클러스터 툴에서 제1 금속 함유 게이트 전극 층을 상기 어닐링되고 처리된 금속 함유 산화물층상에 증착하는 단계; 및
    상기 클러스터 툴에서 제2 금속 함유 게이트 전극 층을 상기 제1 금속 함유 게이트 전극 층상에 증착하는 단계를 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  20. 제19항에 있어서, 상기 방법은,
    제1 프로세스 챔버에서의 상기 어닐 프로세스와, 동일한 프로세스 챔버에서의 금속 함유 산화물 층의 증착을 수행하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  21. 제19항에 있어서, 상기 방법은,
    제1 프로세스 챔버에서 어닐 프로세스를 수행하고 상기 클러스터 툴의 상기 제1 프로세스 챔버에서 상기 처리된 금속 함유 산화물 층을 어닐링하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  22. 제19항에 있어서, 상기 제1 금속 함유 게이트 전극 층은, 탄탈 질화물(TaN), 티타늄 질화물(TiN), 탄탈 실리콘 질화물(TaSiN), 티타늄 실리콘 질화물(TiSiN), 탄탈 탄화물(TaC), 티타늄 알루미늄 질화물(TiAlN), 루테늄 탄탈(RuTa), 몰리브덴 질화물(MoN), 또는 텅스텐 질화물(WN) 중 하나 이상인, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  23. 제22항에 있어서, 상기 금속 함유 게이트 전극은, 티타늄, 탄탈, 루테늄 및 몰리브덴으로 구성된 군으로부터 선택된 금속 층인, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  24. 제19항에 있어서, 상기 제2 금속 함유 게이트 전극은, 탄탈 질화물(TaN), 티타늄 질화물(TiN), 탄탈 실리콘 질화물(TaSiN), 티타늄 실리콘 질화물(TiSiN), 탄탈 탄화물(TaC), 티타늄 알루미늄 질화물(TiAlN), 루테늄 탄탈(RuTa), 몰리브덴 질화물(MoN), 또는 텅스텐 질화물(WN) 중 하나 이상인, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  25. 제19항에 있어서, 상기 방법은,
    상기 제2 금속 함유 게이트 전극 층에 금속 층을 증착하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  26. 제25항에 있어서, 상기 금속 층은 티탄늄, 탄탈, 루테늄 및 몰리브덴 중 하나 이상인, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  27. 제19항에 있어서, 상기 방법은,
    상기 클러스터 툴에서 상기 제2 금속 함유 게이트 전극 층의 상부에 폴리실리콘 층을 증착하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
  28. 제25항에 있어서, 상기 방법은,
    상기 클러스터 툴에서 상기 제2 금속 함유 게이트 전극 층에 폴리실리콘 층을 증착하는 단계를 더 포함하는, 단일 클러스터 툴에서 유전 물질을 기판상에 형성하는 방법.
KR1020060124803A 2005-12-09 2006-12-08 유전 스택을 제조하는 방법 KR20070061451A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/298,553 2005-12-09
US11/298,553 US20060153995A1 (en) 2004-05-21 2005-12-09 Method for fabricating a dielectric stack

Publications (1)

Publication Number Publication Date
KR20070061451A true KR20070061451A (ko) 2007-06-13

Family

ID=38165947

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060124803A KR20070061451A (ko) 2005-12-09 2006-12-08 유전 스택을 제조하는 방법

Country Status (3)

Country Link
KR (1) KR20070061451A (ko)
CN (1) CN1983522A (ko)
TW (1) TW200723400A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12020982B2 (en) 2019-05-24 2024-06-25 Applied Materials, Inc. Metal based hydrogen barrier

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5997258B2 (ja) 2012-03-28 2016-09-28 株式会社豊田中央研究所 オフ角を備えているシリコン単結晶とiii族窒化物単結晶の積層基板と、その製造方法
CN102851733B (zh) * 2012-09-04 2016-08-17 苏州晶湛半导体有限公司 氮化镓基材料及器件的制备系统和制备方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12020982B2 (en) 2019-05-24 2024-06-25 Applied Materials, Inc. Metal based hydrogen barrier

Also Published As

Publication number Publication date
CN1983522A (zh) 2007-06-20
TW200723400A (en) 2007-06-16

Similar Documents

Publication Publication Date Title
US20060153995A1 (en) Method for fabricating a dielectric stack
US7601648B2 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
US7910497B2 (en) Method of forming dielectric layers on a substrate and apparatus therefor
US7202166B2 (en) Surface preparation prior to deposition on germanium
US7972978B2 (en) Pretreatment processes within a batch ALD reactor
US7902018B2 (en) Fluorine plasma treatment of high-k gate stack for defect passivation
US6348420B1 (en) Situ dielectric stacks
US6613695B2 (en) Surface preparation prior to deposition
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
US20080014759A1 (en) Method for fabricating a gate dielectric layer utilized in a gate structure
US20060019033A1 (en) Plasma treatment of hafnium-containing materials
US20060062917A1 (en) Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
KR20090008131A (ko) 비휘발성 메모리 소자들을 위한 인터-폴리 유전체들을형성하기 위한 집적 방법
KR20090027162A (ko) 제어된 결정 구조를 갖는 다층 실리콘막들 및 도펀트들의 이용을 통한 다결정성 폴리실리콘 막들 및 주변층들의 변형
KR20080097152A (ko) 화학기상증착 프로세스를 이용한 고­k 유전체 물질 증착방법
US20080274626A1 (en) Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
KR20210010659A (ko) 얇은 산화하프늄 막들 중의 도펀트 농도의 튜닝성
US20220254900A1 (en) Mosfet gate engineerinng with dipole films
KR20070061451A (ko) 유전 스택을 제조하는 방법
US11955332B2 (en) Treatments to enhance material structures
KR102634254B1 (ko) 반도체 구조를 형성하는 방법 및 이의 처리 시스템
TW202418358A (zh) 增強材料結構的處理
JP2024520404A (ja) アモルファスシリコンベース取り除きおよびシールeot
CN116918070A (zh) 具有偶极膜的mosfet栅极工程

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination