KR20060133976A - 침액 리소그래피 방법 및 그 중간 생성물 - Google Patents

침액 리소그래피 방법 및 그 중간 생성물 Download PDF

Info

Publication number
KR20060133976A
KR20060133976A KR1020067009317A KR20067009317A KR20060133976A KR 20060133976 A KR20060133976 A KR 20060133976A KR 1020067009317 A KR1020067009317 A KR 1020067009317A KR 20067009317 A KR20067009317 A KR 20067009317A KR 20060133976 A KR20060133976 A KR 20060133976A
Authority
KR
South Korea
Prior art keywords
shield layer
immersion
photoresist
photoresist layer
layer
Prior art date
Application number
KR1020067009317A
Other languages
English (en)
Inventor
카일 패터슨
커크 스트로제우스키
Original Assignee
프리스케일 세미컨덕터, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프리스케일 세미컨덕터, 인크. filed Critical 프리스케일 세미컨덕터, 인크.
Publication of KR20060133976A publication Critical patent/KR20060133976A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

침액 리소그래피 방법에서, 침액과의 접촉에 의해 발생하는 특성저하(degradation)로부터 포토레지스트층(20)을 보호하기 위해 포토레지스트층(20)에 실드층(30)이 제공된다. 실드층(30)은 노광 파장에서 투과성이며, 사실상 침액이 스며들지 않는다(그리고, 바람직하게는 침액에 녹지 않음). 실드층(30)은 노광 후에 포토레지스트층(20)을 현상하는 데에 사용되는 것과 동일한 현상액을 사용하여 제거될 수 있는 물질로 형성될 수 있다.
침액 리소그래피, 침액, 실드층, 포토레지스트층

Description

침액 리소그래피 방법 및 그 중간 생성물{IMMERSION LITHOGRAPHY TECHNIQUE AND PRODUCT}
본 발명은 광학 리소그래피(optical lithography) 분야에 관한 것으로, 더욱 구체적으로는 침액 리소그래피(immersion lithography)에 관한 것이다.
광학 리소그래피(또는 포토리소그래피)는 집적 반도체 부품(component) 등의 제조에 있어 주력 기술(mainstay)로서 40년이 넘게 반도체 업계에서 사용되어져 왔다. 광학 리소그래피가 계속 개선됨에 따라 매우 작은 특징들(features)도 프린트되고 제작되어질 수 있었다. 불행하게도, 이 기술은 제작될 수 있는 특징들의 크기를 더 축소하는 것을 제한하는 물리적 장벽에 부닥치기 시작했다. EUVL(extreme ultraviolet lithography)와 같은 대안의 기술이 제안되고 있다. 그러나, 이러한 대안의 기술은 아직 상용화될 준비가 되어 있지 않다.
레일리 방정식(Rayleigh equation)은 다음과 같이, 광학 리소그래피로 프린트될 수 있는 최소 라인 폭(line width:LW)을 정의한다:
Figure 112006033344715-PCT00001
여기서, k는 공정 인수이며, λ는 포토리소그래픽 공정에서 사용되는 파장이고, NA 는 노광 렌즈 시스템의 개구수(numerical aperture)이다.
공정 인수 k는 포토리소그래피 공정의 많은 변수에 좌우되지만, 0.25라는 실질적인 하한이 있는 것으로 간주된다.
현재, 193nm 파장을 갖는 아르곤 플루오라이드(argon fluoride:ArF) 엑시머 레이저가 포토리소그래피에서 사용되고 있다. 플루오르(Fluorine:F2) 엑시머 레이저(λ=157nm) 또한 제안되고 있다. 많은 사람들은 플루오르(Fluorine:F2) 엑시머 레이저(λ=157nm)를 사용하는 데에 필요한 장비에 투자하는 것을 꺼려하는데, 이는 이 장비가 제한된 기간 동안만 사용되기 쉽다는 점에서이다. 사실, 침액 리소그래피에서의 최근의 진보로 인해 많은 대다수의 반도체 제조업체들은 그들의 미래 계획에서 157nm를 완전히 없애버렸다.
상술된 레일리 방정식에 기초하여, 52nm의 라인 폭을 이룰 수 있도록 하는 0.93만큼 높은 개구수(NA)가 ArF 시스템(λ=193nm)의 포토리소그래픽 시스템에서 획득될 수 있다는 것이 제안되어 왔다. 이론적으로, ArF 시스템의 가능한 가장 작은 라인 폭이 간신히 48nm 이상이라 할 때, 렌즈와 웨이퍼 사이의 매질이 공기인 시스템에서, 개구수에 대해 얻을 수 있는 최대값은 1이다. 그러나, 2007년까지 45nm 및 그 이하의 라인 폭이 요구될 것이라고 예측되고 있다.
최근에는 지금까지보다 더 작은 크기로 광학 리소그래피 공정의 해상도를 개선시킬 수 있는 또 다른 기술인 침액 리소그래피가 제안되어지고 있다. 침액 리소그래피는 광학 노광 시스템의 개구수를 증가시키기 위해, 포토리소그래픽 패터닝 공정에서 사용되는 광학 시스템과 처리되고 있는 웨이퍼 사이에 일반적으로 액체인 침액 매질(immersion medium)을 삽입함으로써 해상도를 증가시킨다.
통상적으로, 투영 노광 시스템에서, 침액이 최종 렌즈 소자(final lens element)와 패터닝될 웨이퍼 사이에 삽입된다. 여기에는 웨이퍼 스테이지 전체가 침액으로 뒤덮이거나 또는 메니스커스(meniscus)(몇 밀리미터의 두께)가 최종 렌즈 소자와 웨이퍼 사이에 트래핑되는 두 가지 주요 접근 방법이 있다.
광학 투영 시스템의 개구수 NA는 이하의 방정식에 따라 계산될 수 있다:
Figure 112006033344715-PCT00002
여기서 η는 렌즈와 웨이퍼 사이에 있는 매질의 굴절률(refractive index)이고, θ는 렌즈의 허용 각도(acceptance angle)이다. 노광 파장에서 공기보다 높은 굴절률을 갖는 삽입 매질을 선택함으로써 노광 시스템의 개구수가 증가될 수 있다는 것을 알 것이다.
ArF 시스템(λ=193nm)을 사용하는 침액 리소그래피에서, 침액 매질로서 유력한 후보는 물, 통상적으로 탈이온화된 물(de-ionized water)이다. ArF 시스템에서 침액으로 사용되는 경우, 탈이온화된 물의 굴절률은 1.44이고, 이것은 대략 33㎚의 가능한 라인폭(potential line width)을 산출한다.
그러나, 포토레지스트막(photoresist film)이 물에 담겨지는 경우, 포토레지스트막에 이온성 물질이 달라붙어 그 레지스트가 부풀어지고, 레지스트 매트릭스 내에서 나머지 물질의 확산이 변경되는 것과 같은 많은 유해한 작용이 생길 수 있다. 이러한 문제점들은 탈이온화된 물을 사용하는 경우 특히 심각하다. 이들 문 제점들로 인해 새로운 포토레지스트 물질의 개발 필요성이 대두되고 있는데, 이는 침액 리소그래피 기술의 도입을 지연시키거나, 적어도 이 기술이 달성할 수 있는 분해능을 감소시킬 것이다.
물 이외의 침액 매질의 경우, 그것은 또한 침액과 포토레지스트 간의 접촉이 포토레지스트의 특성을 저하시키는 사례일 수 있다.
본 명세서에서, "침액 매질" 또는 "침액"이라고 지칭하는 것이 광학 리소그래피 시스템에서 렌즈와 웨이퍼 사이에 있는 매질 또는 액체를 나타낸다는 것을 이해할 것이다. "침액"이라는 단어는 (일부 사례에서는 발생할 수도 있지만) 당해의 매질 또는 액체에 장비 전체(또는 심지어 웨이퍼 전체)가 담겨져야 하거나 또는 잠기게 되어야 한다는 것은 아니다.
배경 기술에 대한 설명
M.Switkes 등의 논문 "Extending optics to 50㎚ and beyond with immersion lithography"(American Vacuum Society의 Journal of Vacuum Science & Technology B지 2003년 11/12월호)에서는 침액 리소그래피에 대해 설명하고 있고, 일부 레지스트가 본질적으로 침액 리소그래피 공정에서 사용하기에 적합하다고 얘기하고 있다. 그러나, 또한 침액 매질(특히 물)과 포토레지스트 간에 일어날 수 있는 상호작용에 관해 문제점이 있을 수 있다고 얘기하고 있다.
화학증폭형 레지스트(chemically-amplified resists)(CARs)를 사용하는 리소그래피 분야에서, CAR의 안정성을 향상시키기 위해(그것의 저장성(shelf life)을 증가시키기 위해) CAR에 표면 코팅(top coating)을 하는 것이 제안되어 오고 있다. 이와 관련하여 미국 출원 제2001/044077호와 미국 특허 5,326,675호를 참조한다. 이들 종래의 제안들은 침액 리소그래피 기술의 사용을 꾀하지 않고, 종종 수용성(water-soluble) 표면 코팅을 제안한다.
본 발명의 바람직한 실시예는 침액 매질과의 접촉에 의해 발생되는 포토레지스트 막의 바람직하지 않은 작용을 사실상 피하면서, 침액 리소그래피 기술이 적용되도록 한다.
본 발명은 첨부되는 청구항에서 설명되는 바와 같이 침액 리소그래피 방법을 제공한다.
본 발명은 또한 첨부되는 청구항에서 설명되는 바와 같이, 특정 침액 매질을 채용함으로써 침액 리소그래피 공정에서 노광을 위해 적응되는 중간 생성물(intermediate product)을 제공한다.
도 1은 본 발명의 한 바람직한 실시예에 따르는, 침액 리소그래피 방법의 주요 단계를 나타내는 흐름도.
도 2a 내지 도 2d는 도 1의 방법의 여러 단계(stage)에서의 웨이퍼의 구조를 나타내는 도면.
본 발명의 상술된 특징 및 기타 특징 그리고 이점들은 예로서 제공되는 바람직한 실시예에 대한 이하의 설명으로부터 명백해질 것이고, 첨부되는 도면에 의해 도시된다.
본 발명에 따르는 침액 리소그래피 방법의 바람직한 실시예가 도 1 및 도 2a 내지 도 2d를 참조하여 설명될 것이다.
도 1의 흐름도에 나타난 바와 같이, 방법의 단계(1)에서 기판(10) 위에 포토레지스트층(photoresist layer)(20)이 생성된다(기판(10)이 블랭크 웨이퍼(blank wafer)일 수 있고 또는 이것이 이미 포토리소그래픽 패터닝이 되어 특정 특징을 생성했을 수도 있다는 것을 유의한다). 그 결과로 생긴 구조가 도 2a에 개략적으로 도시되어 있다.
포토레지스트층(20)은 임의의 편리한 방식으로 기판(10) 위에 형성될 수 있다. 통상적으로, 기판이 웨이퍼인 경우, 웨이퍼는 먼저 깨끗하게 되어 준비되고, 장벽층(barrier layer)이 그 위에 형성되고, 공지의 기술을 이용하여 스핀 코팅(spin coating)함으로써 그 위에 포토레지스트가 형성되고, 용매의 바람직하지 않은 흔적을 제거하기 위해 포토레지스트는 소프트 베이킹된다(soft baked). 통상적으로, 포토레지스트층의 주변에서, 수 밀리미터의 포토레지스트층이 제거된다("에지 비드 제거(edge bead removal)"). 이들 공정의 상세사항은 당업자들에게 잘 알려져 있으며, 예를 들어 웹 사이트 http://www.ee.washington.edu의 "포토리소그래피" 페이지에서 찾아볼 수 있다.
다음, 도 1의 단계(2)에 도시된 바와 같이, 임의의 적합한 공정에 의해 포토레지스트층(20) 위에 실드층 또는 캡핑층(capping layer)(30)이 형성되어 도 2b에 도시된 구조가 된다. 통상적으로, 상부 반사 방지막(top anti-reflective coatings:TARC)을 형성하기 위해 채용되는 기술을 이용하여 포토레지스트층 위에 실드층(30)을 형성하는 것은 편리한데, 이것은 일반적으로 실드층 물질의 코팅 후에 베이킹 단계를 포함할 것이다.
이롭게도, 포토레지스트층의 나머지 모든 표면 특히 도 2b에 도시된 바와 같이 상면과 측면을 사실상 커버하도록 실드층(30)이 형성된다. 실드층(30)의 형성에 이어서 에지 비드 제거가 수행되는 경우, 실드층(30)의 일부가 포토레지스트층(20)의 측면을 여전히 커버하도록 하기 위해, 제거되는 물질의 양은 포토레지스트층(20)에서 수행된 이전의 에지 비드 제거 공정에서 제거된 양보다 작은 것이 바람직하다.
이제 실드층(30)에 의해 보호되는 포토레지스트(20)를 포함하는 기판(10)은 광학 리소그래피 노광 시스템에 상관하여 정렬되고, 노광 렌즈와 실드층(30) 사이에 침액 매질이 제공되고, 노광 방사(exposing radiation)가 스위치 온된다(도 1의 단계(3)). 필요하다면, 노광 동안 노광 광학 시스템에 상관하여 기판(10)을 교체하는(또는 그 반대) 데에 공지의 스테퍼 또는 스캐너 장치가 사용될 수 있다.
본 발명의 바람직한 실시예에 따라, 포토레지스트층과 침액 매질 간의 접촉 전에 포토레지스트층 위에 실드층이 형성된다는 것을 알 것이다. 실드층(30)은 노광 파장에서 시각적으로 투과성이 있고, 사실상 침액 매질이 스며들지 않고, 바람직하게는 사실상 침액 매질에 녹지 않는 물질로 형성된다. 따라서, 노광 방사는 실드층(30)을 통해 포토레지스트를 노광시켜 도 2c에 도시된 구조를 만든다. 또한 실드층(30)은 침액 매질에 의해 포토레지스트층(20)에서 발생될 수 있는 해로운 작 용을 방지한다.
사실상 침액 매질이 스며들지 않는 실드층으로 포토레지스트를 도포함으로써, 바람직한 실시예의 침액 리소그래피 방법은 포토레지스트와 침액 매질 간의 접촉을 피하게 하고, 따라서, 포토레지스트 특성의 저하를 막을 수 있다. 이리하여 종래의 포토레지스트 물질이 새로운 침액 리소그래픽 공정에서도 사용될 수 있고, 이 기술이 더 빨리 도입될 수 있다.
실드층(30)에 대해서는 침액 매질에 사실상 녹지 않는 물질을 사용하는 것이 바람직하다. 부분적으로 녹는 물질이 사용될 수 있으나, 침액 매질에서의 실드층 물질의 가용성은, 포로리소그래픽 공정이 완료되기 전에 포토레지스트층이 침액 매질에 노광되는 것을 피할 만큼 충분히 낮아야 한다. 또한, 실드층 물질이 침액 매질에서 너무 빠른 용해율을 갖고 있다면, 렌즈 소자가 용해된 실드층 물질로 코팅되어, 포토레지스트의 정확한 패터닝을 방해하는 위험이 있을 수 있다.
도 2c는 포지티브 포토레지스트의 사례를 도시하고 있다. 도 2c의 어두운 영역은 노광 방사에 노광된 영역을 나타내고, 밝은 영역은 리소그래픽 마스크에 의해 노광 방사로부터 감추어져 있던 영역을 나타낸다. 본 발명이 일반적으로 포지티브 및 네거티브 포토레지스트에 적용가능하다는 것을 이해할 것이다.
일단 포토레지스트막(20)이 노광되면, 기판(10)이 노광 장치로부터 제거된다. 일반적으로, 노광된 포토레지스트는 이제 포스트-베이킹 단계에 들어가게 된다. 실드층(30)이 노광된 포토레지스트층(20)을 현상하는 데에 일반적으로 사용되는 현상액(developer fluid)을 사용하여 제거될 수 없다면, 실드층을 제거하기 위 해 방법의 단계(3a)가 포함된다. 실드층(30)은 밑에 있는 포토레지스트에는 사실상 영향을 끼치지 않는 물리적 공정 또는 임의의 적합한 화공 약품(chemical agent)을 이용하여 제거될 수 있다.
이롭게도, 실드층(30)은 노광된 포토레지스트층(20)을 현상하는 데에 사용되는 것과 동일한 현상액을 이용하여 즉시 제거될 수 있는 물질로 형성된다. 따라서, 하나의 단계(도 1의 단계(4))로 포토레지스트층(20)이 현상되고 실드층(30)이 또한 제거될 수 있다. 이것은 포토리소그래픽 제작 공정에 수반되는 단계의 수가 과도하게 증가하는 것을 막고, 관련된 비용의 증가를 막고, 폐기(disposal)용 생성물의 낭비를 막는다.
실드층(30)과 포토레지스트층(20)이 제거되면, 도 2d에 도시된 바와 같이 패턴화된 포토레지스트(20a)를 포함하는 기판(10)이 남게 된다.
본 발명은 침액 리소그래피 동안 침액 매질과의 접촉으로 인해 일어날 수 있는 해로운 작용으로부터 포토레지스트(20)를 보호하기 위해 실드층(30)을 이용한다. 그러나, 실드층(30)을 사용함으로써 부가적으로 유용한 효과를 얻는다. 실드층(30)이 없고 포토레지스트(20)가 침액 리소그래피 공정 동안 노광되는 경우, 레지스트의 노광동안, 포토레지스트로부터 각종 화학종(species)이 용해될 수 있다. 많은 경우, 이들 용해된 물질들은 노광 광학 장치(exposure optics)를 오염시키거나, 심지어 훼손할 수 있다. 노광 광학 장치는 비용이 많이 들고, 아마도 리소그래피 도구의 전체 비용의 50%을 차지하며, 또한, 반도체 제조시설 설립에 있어서도 가장 비용이 많이 드는 부분이다. 따라서, 노광 광학 장치를 보호하는 것이 본 발 명에 의해 제공되는 중요한 이점이다.
실드층(30)을 형성하는 데에 사용될 물질은 침액 리소그래피 공정에서 사용되는 침액 매질과 노광 파장에 따라 선택된다. 적합한 물질은 노광 파장에서 투과되고 침액이 사실상 스며들지 않는(그리고 바람직하게는 사실상 침액에 녹지 않는) 물질이다.
실드층(30)에 사용되는 물질은 또한 포토레지스트를 현상하는 데에 사용될 현상액에 따라 선택되는데, 그리하여 이 실드층 물질은 노광 후에 포토레지스트를 현상하는 데에 사용되는 것과 동일한 현상액을 사용하여 제거될 수 있다. 이것은 리소그래피 공정에 있어 필요한 전반적인 단계의 수를 줄여준다. 또한 이것은 현상된 포로레지스트(20a)가 실드층(30)을 제거하기 위한 공정에 의해 훼손되지 않도록 한다.
명백하게, 실드층 물질은 또한 그것 자체가 사실상 포토레지스트 물질에 해로운 작용을 하지 않는 것이어야만 한다.
최근의 대부분의 포토레지스트는 현상액 용액(solution)으로서 수산화테트라메틸암모늄(tetramethylammonium hydroxide:TMAH)의 수용성 용액을 사용하여 현상될 수 있는 화학증폭형 레지스트이다. 이 TMAH 현상액 용액은 알칼리성이다(높은 ph).
현상액에 의해 즉시 제거될 수 있는 실드층 물질을 찾을 때에, 157㎚ 노광 파장에서 사용되기에 적합한 물질을 선택하면 비교적 쉬운데, 그 이유는 통상적인 침액 매질(불화된 용매)의 특성이 통상적인 현상액(TMAH 수용성 용액)의 특성과 상 당히 상이하기 때문이다. 그러므로, 알칼리 수용성 용액에서의 가용성 뿐만 아니라 157㎚에서 높은 투과성을 제공하는 모든 폴리머는 실드층의 요건을 충족시킬 것이다.
그러나, 193㎚의 노광 파장에서, 실드층 물질의 선택은 좀 더 어려운데, 그 이유는 침액 매질이 통상적으로 물이고 현상액은 통상적으로 TMAH 수용성 용액이기 때문이다. 따라서, 후자의 경우에서 필요한 것은 그 가용성이 ph 종속형 실드층 물질이다. 이 노광 파장에서 사용하기에 적합한 실드층 물질의 예로는, 193㎚에서 시각적으로 투과성이 있는 코폴리머(co-polymer) 또는 양성 이온 폴리머(zwitterionic polymer), 신속한 알칼리성 가수 분해가 가능하여 수용성 가용성을 유발시키는 193㎚에서 시각적으로 투과성이 있는 교차 결합된 폴리머막 등이 있다.
본 발명이 화학증폭형 포토레지스트에 관련된 공정 뿐만 아니라 비화학증폭형 포토레지스트에 관련된 침액 리소그래피 공정에도 적용가능하다는 것을 유의한다. 또한 본 발명은 침액 리소그래피 공정에서 사용되는 관련 기술(I-라인, 딥 UV(deep UV) 등) 또는 노광 파장(365㎚, 248㎚, 193㎚, 127㎚ 등)에 관해 제한되지 않는다. 보다 구체적으로는, 광학 파장의 사용은 필수 사항이 아니다.
한 특정 예를 들어보기 위해, 물이 침액이고, 248㎚에서 수행되는 침액 리소그래피 공정에서 노광될 APEX 포토레지스트(IBM에 의해 제안됨)의 사례를 고려해보자. 포토레지트스가 일단 노광되면, 그것을 현상하기 위해 0.26N TMAH 현상액 용제를 사용하는 것이 바람직하다. 이러한 경우, 실드층에 대해서는 4- hydrosystyrene 및 4-vinylanline의 코폴리머를 사용하는 것이 이롭다. 이 코폴리머의 공칭 구조(nominal structure)가 이하에 나타나 있다.
Figure 112006033344715-PCT00003
그러나, 이 코폴리머는 공칭 형태(nominal form)로 존재하지 않고, 대신 이것은 단량체 단위(monomer units) 간의 산성-알칼리성(acid-based) 상호작용으로 인해 양성 이온의 형태로 존재한다. 양성 이온 형태가 이하에 도시되어 있다.
Figure 112006033344715-PCT00004
중성 pH 환경에서, 이 코폴리머로부터 형성된 막은 사실상 물에 녹지 않고 사실상 물이 스며들지 않는다. 따라서, 이 침액 리소그래피 공정에서 침액으로서 물이 사용된 경우, 이 코폴리머로 형성된 막은 물로부터 포토레지스트를 보호할 것이다.
고 pH 또는 저 pH 환경에서, 이 코폴리머는 녹을 수 있다. TMAH 현상액 용액은 비교적 높은 pH라서 노광된 포토레지스트를 현상할 뿐만 아니라 이 코폴리머의 막을 제거할 수 있다.
TARC 막을 형성할 때 사용된 것과 유사한 방식으로, 물로부터 포토레지스트층(20)을 코폴리머의 옥살산염(oxlate salt)으로 코팅함으로써 4-hydrosystyrene 및 4-vinylanline의 코폴리머 실드층(30)이 포토레지스트층(20) 위에 형성될 수 있다(예를 들어, 2003년 6월, Solid State Technology의 Brian Head의 "Spin-on application of top-side A/R coatings"을 참조). 옥살산염이 포토레지스트층(20) 위에 코팅된 후, 아래에 도시된 바와 같이 이 옥살산염을 물에 녹지 않는 코폴리머로 분해시키는 베이킹 단계가 수행된다.
Figure 112006033344715-PCT00005
수용성 옥살산염 → 양성 이온 코폴리머
통상적으로 옥살산염을 분해하는 이 베이킹 단계는 150℃에서 60초동안 시료(sample)를 베이킹하는 것을 수반할 것이다.
상술된 공정에서, 처음에는 실드층 물질을 수용성 용액으로 준비하는 것이 편리한데, 그 이유는 이것이 포토레지스트층(20)의 코팅을 용이하게 하기 때문이다. 코팅 후에, 실드층 물질은 임의의 적합한 화학적 또는 물리적 공정(상술된 예제의 포스트-코팅 베이킹 단계 동안)에 의해 물에 녹지 않는 형태로 변환될 수 있다.
상술된 양성 이온 코폴리머는 193㎚ 또는 그보다 더 짧은 노광 파장에서 사용되도록 충분히 투과되지 않는다. 그러나, 상술된 접근 방법은 산성 및 알칼리성 단량체를 관심이 있는 파장에서 투과되는 대안의 단량체로 대체함으로써 더 짧은 파장으로 확장될 수 있다.
본 발명이 바람직한 실시예를 참조하여 설명되었지만, 본 발명이 그 실시예의 상세사항에 관련되어 제한되지 않는다는 것을 이해할 것이다. 보다 구체적으로는, 당업자들은 첨부되는 청구항에서 정의되는 바와 같이 본 발명의 범위에서 벗어나지 않고 그 실시예에 변경 및 적응이 있을 수 있다는 것을 인식할 것이다.
예를 들어, 포토레지스트의 상술된 설명은 간략화된 것이다. 당업자들은 포토레지스트가 구체적으로 상술되지 않는 부가의 성분(광산 발생제(photoacid generator), 광알칼리 발생제(photobase generator), 급냉조(quenchers), 용해 억제제(dissolution inhibitors), 증폭 촉매(amplification catalysts) 등)를 포함할 수 있다는 것을 즉시 인식할 것이다.
마찬가지로, 침액 리소그래피 공정의 설명 또한 간략화되었다. 당업자들은 침액에 거품이 형성되지 않도록 보장하기 위한 조치, 현상된 포토레지스트에서 현상액을 씻어내는 헹굼 단계 등과 같은 부가의 단계 및 조치가 일반적으로 침액 리소그래피 공정에 적용될 것이라는 것을 즉시 이해할 것이다.
또한, 상술된 바람직한 실시예가 침액 매질이 물인 침액 리소그래피 공정에 관한 것이지만, 본 발명은 이 특정 침액의 사용 또는 심지어 액체를 사용하는 것에 제한되지 않는다(예를 들면 가스와 같은 다른 형태의 침액 매질이 사용될 수 있다).
침액 매질이 스며들지 않는 실드층으로 포토레지스트를 도포함으로써, 본 발 명의 방법을 통해 포토레지스트와 침액 매질 간의 접촉을 피하게 하고, 따라서, 포토레지스트 특성의 저하를 막을 수 있다. 이리하여 종래의 포토레지스트 물질이 새로운 침액 리소그래픽 공정에서도 사용될 수 있고, 이 기술이 더 빨리 도입될 수 있다.
또한, 실드층(30)은 노광된 포토레지스트층(20)을 현상하는 데에 사용되는 것과 동일한 현상액을 이용하여 즉시 제거될 수 있는 물질로 형성되므로 하나의 단계(도 1의 단계(4))로 포토레지스트층(20)이 현상되고 실드층(30)이 또한 제거될 수 있어 포토리소그래픽 제작 공정에 수반되는 단계의 수가 과도하게 증가하는 것을 막고, 관련된 비용의 증가를 막고, 폐기(disposal)용 생성물의 낭비를 막는다.

Claims (10)

  1. 광학 노광 시스템을 사용하여 노광 기간 동안 포토레지스트층(20)을 노광시키고, 상기 광학 노광 시스템과 노광될 상기 포토레지스트층(20) 사이에 침액 매질이 삽입되고, 노광 후에 상기 포토레지스트층은 현상액을 이용하여 현상되는 침액 리소그래피 방법에 있어서,
    상기 방법은 상기 포토레지스트층(20)과 상기 침액 매질 간의 접촉을 방지하기 위해 상기 포토레지스트층(20)에 실드층(30)을 제공하는 단계를 포함하며, 상기 실드층(30)은 노광 파장에서 투과성미여 상기 침액 매질이 스며들지 않는 것을 특징으로 하는 침액 리소그래피 방법.
  2. 제1항에 있어서,
    상기 실드층(30)은 상기 노광 기간 동안 상기 침액 매질이 상기 포토레지스트층(20)에 접촉하는 것을 방지하기에 충분한 정도까지 상기 침액 매질에 녹지 않는 물질로 형성되는 침액 리소그래피 방법.
  3. 제1항 또는 제2항에 있어서, 상기 실드층(30)은 상기 현상액에 의해 제거되는 물질로 형성되는 침액 리소그래피 방법.
  4. 제3항에 있어서, 상기 침액 매질은 물이고, 상기 현상액은 수산화테트라메틸 암모니움(tetramethylammonium hydroxide:TMAH)이고 상기 실드층(30)은 ph-종속 가용성을 갖는 물질로 형성되는 침액 리소그래피 방법.
  5. 제4항에 있어서,
    상기 포토레지스트층(20)을 상기 실드층 물질로 코팅함으로써 상기 포토레지스트층(20)에 상기 실드층(30)을 제공하는 단계;
    그리고 나서 상기 노광 기간 동안 상기 물 침액 매질이 상기 포토레지스트층(20)에 접촉하는 것을 방지하기에 충분한 정도까지 상기 실드층이 물에 녹지 않도록 하는 화학적 또는 물리적 공정을 적용하는 단계
    를 포함하는 침액 리소그래피 방법.
  6. 특정 침액을 사용하는 침액 리소그래피 공정에서 노광을 위해 적응된 중간 생성물에 있어서,
    상기 생성물은 포토레지스트층(20)을 포함하는 기판(10)으로 구성되고,
    상기 기판(10)에서 멀리 있는 상기 포토레지스트층(20)의 표면은 상기 침액 리소그래피 공정에서 사용되는 노광 파장에서 투과성이고 상기 특정 침액이 스며들지 않는 실드층(30)으로 커버되는 것을 특징으로 하는 중간 생성물.
  7. 제6항에 있어서, 상기 실드층 물질은, 상기 노광 기간 동안 상기 침액 매질이 상기 포토레지스트층(20)에 접촉하는 것을 방지하기에 충분한 정도까지 상기 특 정 침액 매질에 녹지 않도록 선택되는 중간 생성물.
  8. 제6항 또는 제7항에 있어서, 상기 실드층 물질은 공통의 현상액이 상기 실드층(30)을 제거할 수 있고 상기 포토레지스트층(20)도 현상할 수 있도록 선택되는 중간 생성물.
  9. 제8항에 있어서, 상기 실드층은 pH-종속 가용성을 갖는 물질로 형성되는 중간 생성물.
  10. 제6항 내지 제9항 중 어느 한 항에 있어서, 상기 특정 침액 매질은 물이며, 상기 실드층(30)은 물이 스며들지 않는 물질로 형성되는 중간 생성물.
KR1020067009317A 2004-02-17 2005-02-15 침액 리소그래피 방법 및 그 중간 생성물 KR20060133976A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP04290429.2 2004-02-17
EP04290429A EP1564592A1 (en) 2004-02-17 2004-02-17 Protection of resist for immersion lithography technique

Publications (1)

Publication Number Publication Date
KR20060133976A true KR20060133976A (ko) 2006-12-27

Family

ID=34684792

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067009317A KR20060133976A (ko) 2004-02-17 2005-02-15 침액 리소그래피 방법 및 그 중간 생성물

Country Status (7)

Country Link
US (1) US20080171285A1 (ko)
EP (2) EP1564592A1 (ko)
JP (1) JP2007529881A (ko)
KR (1) KR20060133976A (ko)
CN (1) CN101558357A (ko)
TW (1) TW200538881A (ko)
WO (1) WO2005078525A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101029761B1 (ko) * 2007-11-06 2011-04-19 에이에스엠엘 네델란즈 비.브이. 리소그래피 용 기판 준비방법, 기판, 디바이스 제조방법, 실링 코팅 어플리케이터 및 실링 코팅 측정장치

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI259319B (en) 2004-01-23 2006-08-01 Air Prod & Chem Immersion lithography fluids
US20050161644A1 (en) 2004-01-23 2005-07-28 Peng Zhang Immersion lithography fluids
JP4551701B2 (ja) 2004-06-14 2010-09-29 富士フイルム株式会社 液浸露光用保護膜形成組成物及びそれを用いたパターン形成方法
US7914972B2 (en) 2004-07-21 2011-03-29 Nikon Corporation Exposure method and device manufacturing method
JP4696558B2 (ja) * 2005-01-07 2011-06-08 Jsr株式会社 フォトレジストパターン形成方法、及びフォトレジストパターン形成用基板
US20070084793A1 (en) * 2005-10-18 2007-04-19 Nigel Wenden Method and apparatus for producing ultra-high purity water
JP6400161B1 (ja) * 2017-08-08 2018-10-03 キヤノン株式会社 成膜方法、ドライフィルムの製造方法、および液体吐出ヘッドの製造方法
PT117491B (pt) * 2021-09-30 2024-03-12 Univ De Coimbra Copolímero cromogénico, seu método de obtenção, produtos que o incorporam e método de deteção de contrafação e autenticaçao de produtos
CN116263564A (zh) * 2021-12-13 2023-06-16 长鑫存储技术有限公司 光刻胶图案的形成方法和光刻胶结构
CN115047728A (zh) * 2022-07-01 2022-09-13 中国科学院光电技术研究所 等离子体共振腔透镜光刻的成像结构保护方法及其结构

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4346164A (en) * 1980-10-06 1982-08-24 Werner Tabarelli Photolithographic method for the manufacture of integrated circuits
JPH06130657A (ja) * 1991-08-20 1994-05-13 Mitsubishi Rayon Co Ltd ドライフィルムレジスト
JP3281053B2 (ja) * 1991-12-09 2002-05-13 株式会社東芝 パターン形成方法
JP3158710B2 (ja) * 1992-09-16 2001-04-23 日本ゼオン株式会社 化学増幅レジストパターンの形成方法
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
US20010044077A1 (en) * 1999-04-16 2001-11-22 Zoilo Chen Ho Tan Stabilization of chemically amplified resist coating
US6788477B2 (en) * 2002-10-22 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for method for immersion lithography
US7432042B2 (en) * 2003-12-03 2008-10-07 United Microelectronics Corp. Immersion lithography process and mask layer structure applied in the same
US20060008746A1 (en) * 2004-07-07 2006-01-12 Yasunobu Onishi Method for manufacturing semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101029761B1 (ko) * 2007-11-06 2011-04-19 에이에스엠엘 네델란즈 비.브이. 리소그래피 용 기판 준비방법, 기판, 디바이스 제조방법, 실링 코팅 어플리케이터 및 실링 코팅 측정장치

Also Published As

Publication number Publication date
JP2007529881A (ja) 2007-10-25
TW200538881A (en) 2005-12-01
WO2005078525A2 (en) 2005-08-25
CN101558357A (zh) 2009-10-14
EP1716453A2 (en) 2006-11-02
EP1564592A1 (en) 2005-08-17
US20080171285A1 (en) 2008-07-17
WO2005078525A3 (en) 2005-10-27

Similar Documents

Publication Publication Date Title
KR20060133976A (ko) 침액 리소그래피 방법 및 그 중간 생성물
US8895234B2 (en) Immersion lithography watermark reduction
US8137893B2 (en) Chemical trim of photoresist lines by means of a tuned overcoat
US7550253B2 (en) Barrier film material and pattern formation method using the same
US20060008746A1 (en) Method for manufacturing semiconductor device
US6472127B1 (en) Method of forming a photoresist pattern
KR101720967B1 (ko) 기판 처리액 및 이것을 사용한 레지스트 기판 처리 방법
KR20040030853A (ko) 광학적으로 이미징된 고성능 포토마스크의 제조 방법
JP4105106B2 (ja) 微細パターン形成方法
WO2010073390A1 (ja) パターンの形成方法及び半導体装置の製造方法、並びにレジストパターンの被覆層の形成材料
KR100642416B1 (ko) 상부 반사방지막 조성물 및 이를 이용한 반도체 소자의패턴 형성 방법
JP2006039129A (ja) 液浸露光用積層構造、液浸露光方法、電子装置の製造方法及び電子装置
US5962196A (en) Deep ultraviolet light photoresist processing
KR100764374B1 (ko) 이머젼 리소그라피 용액 제거용 조성물 및 이를 이용한이머젼 리소그라피 공정을 포함하는 반도체 소자 제조방법
KR20070109467A (ko) 이머젼 리소그라피 공정을 이용한 반도체 소자 제조방법
KR20080106696A (ko) 탑코팅 패턴을 보조 식각마스크로 활용하는 반도체 소자의제조방법
JP2005221801A (ja) レジストパターン形成方法
US7468235B2 (en) Barrier coating compositions containing fluorine and methods of forming photoresist patterns using such compositions
CN100461004C (zh) 浸润式光刻的方法及其处理方法
KR100827506B1 (ko) 이머젼 리소그라피 공정을 이용한 반도체 소자 제조방법
JP2010128464A (ja) レジストパターン形成方法
JP2005045083A (ja) 露光方法
JPH09222736A (ja) 反射防止組成物及びパターン形成方法
KR20070017061A (ko) 이머션 리소그래피 워터마크 감소
KR20060071616A (ko) 액침 리소그라피의 물질 핸들링 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid