KR20060083249A - Method of forming field oxide in flash memory device - Google Patents

Method of forming field oxide in flash memory device Download PDF

Info

Publication number
KR20060083249A
KR20060083249A KR1020050003683A KR20050003683A KR20060083249A KR 20060083249 A KR20060083249 A KR 20060083249A KR 1020050003683 A KR1020050003683 A KR 1020050003683A KR 20050003683 A KR20050003683 A KR 20050003683A KR 20060083249 A KR20060083249 A KR 20060083249A
Authority
KR
South Korea
Prior art keywords
oxide film
trench
film
etching process
gas
Prior art date
Application number
KR1020050003683A
Other languages
Korean (ko)
Inventor
박보민
명성환
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020050003683A priority Critical patent/KR20060083249A/en
Publication of KR20060083249A publication Critical patent/KR20060083249A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

본 발명은 플래쉬 메모리소자의 소자분리막 형성방법에 관한 것으로, 본 발명의 사상은 트렌치가 형성된 반도체 기판에 상기 트렌치의 입구에 오버행이 발생되도록 하는 두께로 측벽용 산화막을 형성하는 단계, 상기 측벽용 산화막이 구비된 결과물 전면에 식각공정을 수행하여, 상기 측벽용 산화막의 오버행을 제거하고, 상기 트렌치의 저면에 소정 두께의 측벽용 산화막이 남겨지도록 하는 단계 및 상기 식각공정이 수행된 측벽용 산화막이 포함된 결과물 전면에 트렌치 매립용 절연막을 증착하는 단계를 포함한다.
The present invention relates to a method of forming a device isolation film of a flash memory device, and the idea of the present invention is to form an oxide film for sidewalls having a thickness such that an overhang occurs at an inlet of the trench in a trench formed semiconductor substrate, and the oxide film for sidewalls Performing an etching process on the entire surface of the resultant to remove the overhang of the sidewall oxide film, leaving the oxide film for the sidewall having a predetermined thickness on the bottom of the trench, and the sidewall oxide film on which the etching process is performed. And depositing an insulating film for trench filling in the entire surface of the result.

소자분리막 Device Separator

Description

플래쉬 메모리소자의 소자분리막 형성방법{Method of forming field oxide in flash memory device} Method of forming a device isolation layer of a flash memory device {Method of forming field oxide in flash memory device}             

도 1 내지 도 4는 본 발명에 따른 반도체 소자의 소자분리막 형성방법을 설명하기 위한 단면도들이다.
1 to 4 are cross-sectional views illustrating a method of forming a device isolation film of a semiconductor device according to the present invention.

*도면의 주요부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

10: 반도체 기판 12: 패드 질화막10 semiconductor substrate 12 pad nitride film

14: 측벽용 산화막 16: HDP 산화막
14: oxide film for side wall 16: HDP oxide film

본 발명은 반도체 소자의 제조방법에 관한 것으로, 더욱 상세하게는 반도체 소자의 소자 분리막 형성방법에 관한 것이다. The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for forming a device isolation film of a semiconductor device.

최근 반도체 소자의 고집적화, 고밀도화에 따라 소자 분리막의 갭필 특성이 향상될 수 있도록 하는 기술들이 중요시되고 있다. Recently, technologies for improving the gapfill characteristics of device isolation layers have become important with high integration and high density of semiconductor devices.                         

일반적으로 반도체 소자의 소자 분리막 형성방법에 있어서, 형성된 트렌치내부에 갭필공정을 수행하게 되는 데, 최근 반도체 소자의 고집적화, 고밀도화됨에 따라 트렌치의 패턴 폭은 점점 좁아지고 있고, 이에 따라 트렌치 입구에 오버행이 형성되어, 보이드의 형성 등의 절연막의 매립에 문제점이 발생하고 있어, 트렌치 내부의 갭필 특성을 개선할 수 있는 기술이 요구되고 있다.
In general, in the method of forming a device isolation layer of a semiconductor device, a gap fill process is performed in the formed trench. As the integration and density of semiconductor devices become more recent, the pattern width of the trench becomes narrower, and thus an overhang is formed in the trench inlet. There is a problem in filling the insulating film such as the formation of voids, and there is a demand for a technique capable of improving the gap fill characteristics in the trench.

상술한 문제점을 해결하기 위한 본 발명의 목적은 트렌치 내부의 갭필 특성을 개선시킬 수 있는 반도체 소자의 소자 분리막 형성방법을 제공함에 있다.
An object of the present invention for solving the above problems is to provide a device isolation film forming method of a semiconductor device that can improve the gap fill characteristics in the trench.

상술한 목적을 달성하기 위한 본 발명의 사상은 트렌치가 형성된 반도체 기판에 상기 트렌치의 입구에 오버행이 발생되도록 하는 두께로 측벽용 산화막을 형성하는 단계, 상기 측벽용 산화막이 구비된 결과물 전면에 식각공정을 수행하여, 상기 측벽용 산화막의 오버행을 제거하고, 상기 트렌치의 저면에 소정 두께의 측벽용 산화막이 남겨지도록 하는 단계 및 상기 식각공정이 수행된 측벽용 산화막이 포함된 결과물 전면에 트렌치 매립용 절연막을 증착하는 단계를 포함한다. The idea of the present invention for achieving the above object is to form an oxide film for the side wall to a thickness such that an overhang occurs at the inlet of the trench on the trench formed semiconductor substrate, the etching process on the entire surface of the resultant film provided with the oxide film for the side wall Removing the overhang of the sidewall oxide film, leaving the sidewall oxide film having a predetermined thickness on the bottom of the trench, and the trench filling insulating film on the entire surface of the resultant including the sidewall oxide film subjected to the etching process. And depositing.

상기 트렌치의 입구에 오버행이 발생되도록 하는 두께로 형성되는 측벽용 산화막은 200~ 700Å의 두께를 갖는 것이 바람직하다. It is preferable that the oxide film for the side wall formed to a thickness such that an overhang is generated at the inlet of the trench has a thickness of 200 to 700 kPa.                     

상기 측벽용 산화막은 700~ 1200℃ 정도의 온도, O2가스 또는 H2O 가스로 이루어진 퍼니스(Furnace)에서 증착공정이 수행되는 것이 바람직하다. The oxide film for the side wall is preferably a deposition process performed in a furnace composed of a temperature of about 700 ~ 1200 ℃, O 2 gas or H 2 O gas.

상기 식각공정은 BOE 또는 HF를 식각액으로 사용하고, 80~ 500Å 두께의 상기 측벽용 산화막이 제거되도록 수행하는 습식 식각공정인 것이 바람직하다. Preferably, the etching process is a wet etching process using BOE or HF as an etching solution, and removing the oxide film for the sidewalls having a thickness of 80 to 500 GPa.

상기 식각공정은 상기 트렌치 매립용 절연막 증착공정이 수행될 챔버 내에서 인시튜(in-situ)로 진행하여 80~ 300Å 두께의 상기 측벽용 산화막이 제거될 수 있도록 하는 것이 바람직하다. The etching process may be performed in-situ in the chamber in which the trench filling insulating film deposition process is to be performed to remove the sidewall oxide film having a thickness of about 80 to about 300 kW.

상기 식각공정은 NF3 또는 H2 가스를 사용하여 진행되는 건식 식각공정인 것이 바람직하다. The etching process is preferably a dry etching process that proceeds using NF 3 or H 2 gas.

상기 식각 공정시 O2 플라즈마 처리공정을 수행하는 단계를 더 포함하는 것이 바람직하다. Preferably, the etching process further includes performing an O 2 plasma treatment process.

상기 트렌치 매립용 절연막은 10~ 100sccm 의 SiH4가스, 10~ 100sccm의 O2가스, 100~ 1000sccm 의 He 가스, 50~ 1000sccm 의 H2가스, 1000~ 10000W 의 LF 파워 및 500~ 5000W 의 HF 파워를 가지는 공정조건에서 형성되는 HDP 산화막인 것이 바람직하다. The trench buried insulating film includes 10 to 100 sccm of SiH 4 gas, 10 to 100 sccm of O 2 gas, 100 to 1000 sccm of He gas, 50 to 1000 sccm of H 2 gas, 1000 to 10000 W of LF power and 500 to 5000 W of HF power. It is preferable that it is an HDP oxide film formed in process conditions which have.

상기 HDP 산화막 형성 공정 후 습식 식각 또는 에치백 공정을 수행하고, 상기 결과물 상에 상기 HDP산화막상에 HDP 산화막을 한 번 더 증착하여 형성하는 단계를 더 포함하는 것이 바람직하다.
The method may further include performing a wet etching or etch back process after the HDP oxide film forming process and depositing an HDP oxide film on the HDP oxide film once more on the resultant product.

이하, 첨부 도면을 참조하여 본 발명의 실시 예를 상세히 설명한다. 그러나, 본 발명의 실시예들은 여러 가지 다른 형태로 변형될 수 있지만 본 발명의 범위가 아래에서 상술하는 실시예들로 인해 한정되어지는 것으로 해석되어져서는 안 된다. 본 발명의 실시예들은 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해 제공되어지는 것이다. 또한 어떤 막이 다른 막 또는 반도체 기판의 '상'에 있다 또는 접촉하고 있다 라고 기재되는 경우에, 상기 어떤 막은 상기 다른 막 또는 반도체 기판에 직접 접촉하여 존재할 수 있고, 또는 그 사이에 제 3의 막이 개재되어질 수도 있다.
Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, embodiments of the present invention may be modified in many different forms, but the scope of the present invention should not be construed as being limited by the embodiments described below. Embodiments of the present invention are provided to more completely explain the present invention to those skilled in the art. In addition, when a film is described as being on or in contact with another film or semiconductor substrate, the film may be in direct contact with the other film or semiconductor substrate, or a third film is interposed therebetween. It may be done.

도 1 내지 도 4는 본 발명에 따른 반도체 소자의 소자분리막 형성방법을 설명하기 위한 단면도들이다. 1 to 4 are cross-sectional views illustrating a method of forming a device isolation film of a semiconductor device according to the present invention.

도 1을 참조하면, 반도체 기판(10) 상에 패드 질화막(12)을 형성하고, 상기 패드 질화막(12)의 소정 영역 상에 소자분리 영역을 정의하는 포토레지스트 패턴(미도시)을 형성하고, 상기 포토레지스트 패턴(미도시)을 식각 마스크로 상기 패드 질화막(12) 및 반도체 기판(10)의 소정 깊이에 식각공정을 수행하여, 트렌치(T)를 정의한다. Referring to FIG. 1, a pad nitride film 12 is formed on a semiconductor substrate 10, and a photoresist pattern (not shown) defining an isolation region is formed on a predetermined region of the pad nitride film 12. The trench T is defined by performing an etching process on a predetermined depth of the pad nitride layer 12 and the semiconductor substrate 10 using the photoresist pattern (not shown) as an etching mask.

도 2를 참조하면, 상기 형성된 트렌치(T)의 측벽에 산화공정을 수행하여 측벽용 산화막(14a)을 형성한다. Referring to FIG. 2, an oxidation process is performed on sidewalls of the formed trench T to form an oxide film 14a for sidewalls.                     

상기 측벽용 산화막(14a)은 700~ 1200℃ 정도의 온도, O2가스 또는 H2O 가스로 이루어진 퍼니스(Furnace)에서 증착공정이 수행되어 200~ 700Å 정도의 두께로 형성한다. The side wall oxide film 14a is formed at a thickness of about 200 to 700 Pa by performing a deposition process in a furnace made of a temperature of about 700 to 1200 ° C., an O 2 gas, or an H 2 O gas.

상기 측벽용 산화막(14a)은 50~ 100Å 정도인 기존의 측벽 산화막의 두께보다 더 두꺼운 200~ 700Å 정도의 두께로 형성하여, 상기 트렌치 측벽에만 형성되는 것이 아니라 트렌치(T)에 오버행(over hang)되도록 하여 트렌치의 입구를 막게 한다. The side wall oxide film 14a is formed to a thickness of about 200 to 700 Å thicker than the thickness of a conventional side wall oxide film of about 50 to 100 Å, and is not formed only on the trench sidewall, but overhangs the trench T. Block the openings in the trenches.

상기 기존 공정의 측벽 산화막으로 형성되는 산화막은 트렌치 매립용 절연막으로 사용되는 HDP 산화막에 비해 스텝 커버리지(step coverage)를 우수하기 때문에 오버행을 용이하게 만들 수 있다. Since the oxide film formed of the sidewall oxide film of the conventional process has better step coverage than the HDP oxide film used as the trench filling insulating film, it is possible to easily make the overhang.

도 3을 참조하면, 상기 측벽용 산화막(14a)이 구비된 결과물 전면에 습식 식각공정을 수행하여, 상기 형성된 측벽용 산화막의 오버행을 제거하고, 트렌치 저면에만 측벽용 산화막이 잔존하도록 한다(14b). Referring to FIG. 3, a wet etching process is performed on the entire surface of the resultant side in which the sidewall oxide layer 14a is provided to remove an overhang of the formed sidewall oxide layer, and the sidewall oxide layer remains only on the bottom of the trench (14b). .

상기 식각 공정은 BOE 또는 HF를 식각액으로 사용하고, 80~ 500Å 정도 두께의 측벽용 산화막이 제거되도록 습식 식각을 수행한다. In the etching process, BOE or HF is used as an etching solution, and wet etching is performed to remove an oxide film for sidewalls having a thickness of about 80 to 500 kV.

상기 식각 공정은 이후 트렌치 매립용 절연막으로 사용될 HDP 산화막의 증착공정이 수행될 챔버 내에서 인시튜(in-situ)로 진행할 수도 있다. 상기 HDP 산화막의 증착 공정이 수행될 챔버내에서 상기 식각 공정이 수행될 때 NF3 또는 H2 가스를 사용한 건식 식각을 수행하고, 상기 식각 공정이 수행된 후 O2 플라즈마 처리공정을 수행할 수도 있고, 이 식각공정은 80~ 300Å 정도의 두께가 제거될 수 있도록 수행한다. The etching process may be performed in-situ in a chamber in which a deposition process of an HDP oxide film to be used as an insulating film for trench filling is performed. When the etching process is performed in a chamber in which the deposition process of the HDP oxide film is to be performed, dry etching using NF 3 or H 2 gas may be performed, and an O 2 plasma treatment process may be performed after the etching process is performed. This etching process is carried out to remove the thickness of 80 ~ 300Å.

상기 식각공정은 측벽용 산화막의 오버행을 제거하고 트렌치 저면에 측벽용 산화막이 소정 두께 잔존하도록 하는 데, 상기 식각 공정시 상기 트렌치 입구의 오버행된 측벽 산화막 부분은 상기 식각 공정시 식각액에 많이 노출되어 많이 식각되고, 트렌치 내부에 증착된 측벽 산화막 부분은 비교적 식각액에 적게 노출되어 적게 됨으로써, 트렌치 입구쪽에는 측벽을 따라서만 측벽용 산화막이 형성되고, 트렌치 저면에는 소정 두께로 측벽용 산화막이 형성되므로, 이후 트렌치 매립용 절연막의 증착이 용이해지도록 한다. The etching process removes an overhang of the sidewall oxide layer and leaves a sidewall oxide layer on a bottom of the trench, and the sidewall oxide layer portion overhanging the trench inlet during the etching process is exposed to an etchant during the etching process. Since portions of the sidewall oxide film etched and deposited inside the trench are relatively less exposed to the etchant, the sidewall oxide film is formed only along the sidewall at the trench inlet, and the sidewall oxide film is formed at a predetermined thickness on the trench bottom. The deposition of the trench filling insulating film is facilitated.

도 4를 참조하면, 상기 식각공정이 수행된 측벽용 산화막(16b)이 잔존된 결과물 전면에 HDP 산화막(16)을 형성한다. Referring to FIG. 4, the HDP oxide layer 16 is formed on the entire surface of the resultant oxide layer 16b on which the etching process is performed.

상기 HDP 산화막(16)은 10~ 100sccm 정도의 SiH4가스, 10~ 100sccm 정도의 O2가스, 100~ 1000sccm 정도의 He 가스, 50~ 1000sccm 정도의 H2가스, 1000~ 10000W 정도의 LF 파워 및 500~ 5000W 정도의 HF 파워를 가지는 공정조건에서 수행된다. The HDP oxide film 16 is SiH 4 gas of about 10 ~ 100sccm, O 2 gas of about 10 ~ 100sccm, He gas of about 100 ~ 1000sccm, H 2 gas of about 50 ~ 1000sccm, LF power of about 1000 ~ 10000W and It is performed under process conditions with HF power of 500 ~ 5000W.

도면에는 도시되지 않았지만, 상기 HDP 산화막(16)을 형성한 후, 상기 결과물에 습식 식각 또는 에치백 공정을 수행하고, 습식 식각 또는 에치백 공정이 수행된 HDP산화막 상에 HDP 산화막을 한 번 더 증착하여 형성할 수도 있다. Although not shown in the drawing, after the HDP oxide layer 16 is formed, the resultant is subjected to the wet etching or etch back process, and the HDP oxide layer is further deposited on the HDP oxide layer subjected to the wet etching or etch back process. It may be formed by.

본 발명에 의하면, 오버행이 발생되도록 하는 두께로 트렌치 측벽용 산화막을 증착한 후 습식 식각공정을 수행하여 측벽용 산화막의 오버행을 제거하고 트렌 치 저면에 측벽용 산화막이 소정 두께 잔존하도록 함으로써, 트렌치 내부의 갭필 특성을 개선시킬 수 있다.
According to the present invention, by depositing an oxide film for trench sidewalls to a thickness such that an overhang occurs, a wet etching process is performed to remove an overhang of the oxide film for sidewalls and to leave a predetermined thickness of the oxide film for sidewalls on the bottom of the trench. It is possible to improve the gapfill characteristics of the.

이상에서 살펴본 바와 같이 본 발명에 의하면, 오버행이 발생되도록 하는 두께로 트렌치 측벽용 산화막을 증착한 후 식각공정을 수행하여 측벽용 산화막의 오버행을 제거하고 트렌치 저면에 측벽용 산화막이 소정 두께 잔존하도록 함으로써, 트렌치 내부의 갭필 특성을 개선시킬 수 있는 효과가 있다. As described above, according to the present invention, by depositing an oxide film for the trench sidewall to a thickness such that an overhang occurs, the etching process is performed to remove the overhang of the sidewall oxide film and to leave the sidewall oxide film on the bottom of the trench by a predetermined thickness. In addition, there is an effect that can improve the gap fill characteristics in the trench.

본 발명은 구체적인 실시 예에 대해서만 상세히 설명하였지만 본 발명의 기술적 사상의 범위 내에서 변형이나 변경할 수 있음은 본 발명이 속하는 분야의 당업자에게는 명백한 것이며, 그러한 변형이나 변경은 본 발명의 특허청구범위에 속한다 할 것이다.Although the present invention has been described in detail only with respect to specific embodiments, it is apparent to those skilled in the art that modifications or changes can be made within the scope of the technical idea of the present invention, and such modifications or changes belong to the claims of the present invention. something to do.

Claims (9)

트렌치가 형성된 반도체 기판에 상기 트렌치의 입구에 오버행이 발생되도록 하는 두께로 측벽용 산화막을 형성하는 단계;Forming an oxide film for sidewalls having a thickness such that an overhang occurs at an inlet of the trench in a trench-formed semiconductor substrate; 상기 측벽용 산화막이 구비된 결과물 전면에 식각공정을 수행하여, 상기 측벽용 산화막의 오버행을 제거하고, 상기 트렌치의 저면에 소정 두께의 측벽용 산화막이 남겨지도록 하는 단계; 및  Performing an etching process on the entire surface of the resultant having the sidewall oxide film to remove an overhang of the sidewall oxide film, and leaving the sidewall oxide film having a predetermined thickness on the bottom of the trench; And 상기 식각공정이 수행된 측벽용 산화막이 포함된 결과물 전면에 트렌치 매립용 절연막을 증착하는 단계를 포함하는 플래쉬 메모리소자의 소자분리막 형성방법. And depositing an insulating film for trench filling in the entire surface of the resultant material including the sidewall oxide film on which the etching process is performed. 제 1 항에 있어서, 상기 트렌치의 입구에 오버행이 발생되도록 하는 두께로 형성되는 측벽용 산화막은 The sidewall oxide film of claim 1, wherein the oxide film has a thickness such that an overhang is generated at an inlet of the trench. 200~ 700Å 의 두께를 갖는 것을 특징으로 하는 플래쉬 메모리소자의 소자분리막 형성방법. A device isolation film forming method for a flash memory device, characterized in that the thickness of 200 ~ 700Å. 제1 항 또는 제2 항에 있어서, 상기 측벽용 산화막은 The side wall oxide film according to claim 1 or 2, 700~ 1200℃의 온도, O2가스 또는 H2O 가스로 이루어진 퍼니스(Furnace)에서 증착공정이 수행되는 것을 특징으로 하는 플래쉬 메모리소자의 소자분리막 형성방법. Method of forming a device isolation film of a flash memory device, characterized in that the deposition process is carried out in a furnace (furnace) consisting of a temperature of 700 ~ 1200 ℃, O 2 gas or H 2 O gas. 제1 항에 있어서, 상기 식각공정은 The method of claim 1, wherein the etching process BOE 또는 HF를 식각액으로 사용하고, 80~ 500Å 두께의 상기 측벽용 산화막이 제거되도록 수행하는 습식 식각공정인 것을 특징으로 하는 플래쉬 메모리소자의 소자분리막 형성방법. A method of forming a device isolation film of a flash memory device, comprising: a wet etching process using BOE or HF as an etching solution and removing the oxide film for the sidewalls having a thickness of 80 to 500 kV. 제1 항에 있어서, 상기 식각공정은 The method of claim 1, wherein the etching process 상기 트렌치 매립용 절연막 증착공정이 수행될 챔버 내에서 인시튜(in-situ)로 진행하여 80~ 300Å 두께의 상기 측벽용 산화막이 제거될 수 있도록 하는 것을 특징으로 하는 플래쉬 메모리소자의 소자분리막 형성방법. A method of forming a device isolation film of a flash memory device, characterized in that the oxide buried film having a thickness of about 80 to 300 Å can be removed by going in-situ in a chamber in which the trench buried insulating film deposition process is to be performed. . 제5 항에 있어서, 상기 식각공정은 The method of claim 5, wherein the etching process NF3 또는 H2 가스를 사용하여 진행되는 건식 식각공정인 것을 특징으로 하는 플래쉬 메모리소자의 소자분리막 형성방법.A method of forming an isolation layer in a flash memory device, characterized in that the dry etching process is performed using NF 3 or H 2 gas. 제6 항에 있어서, 상기 식각 공정시 O2 플라즈마 처리공정을 수행하는 단계를 더 포함하는 것을 특징으로 하는 플래쉬 메모리소자의 소자분리막 형성방법.The method of claim 6, further comprising performing an O 2 plasma treatment process during the etching process. 제1 항에 있어서, 상기 트렌치 매립용 절연막은The method of claim 1, wherein the trench filling insulating film 10~ 100sccm 의 SiH4가스, 10~ 100sccm의 O2가스, 100~ 1000sccm 의 He가스, 50~ 1000sccm 의 H2가스, 1000~ 10000W 의 LF 파워 및 500~ 5000W 의 HF 파워를 가지는 공정조건에서 형성되는 HDP 산화막인 것을 특징으로 하는 플래쉬 메모리소자의 소자분리막 형성방법. SiH 4 gas from 10 to 100 sccm, O 2 gas from 10 to 100 sccm, He gas from 100 to 1000 sccm, H 2 gas from 50 to 1000 sccm, LF power from 1000 to 10000 W and HF power from 500 to 5000 W The device isolation film forming method of a flash memory device, characterized in that the HDP oxide film. 제8 항에 있어서, 상기 HDP 산화막 형성 공정 후 The method of claim 8, wherein the HDP oxide film forming process is performed. 습식 식각 또는 에치백 공정을 수행하고, 상기 결과물 상에 상기 HDP산화막상에 HDP 산화막을 한 번 더 증착하여 형성하는 단계를 더 포함하는 것을 특징으로 하는 플래쉬 메모리소자의 소자분리막 형성방법. And performing a wet etching or etch back process and depositing one more HDP oxide layer on the HDP oxide layer on the resultant.
KR1020050003683A 2005-01-14 2005-01-14 Method of forming field oxide in flash memory device KR20060083249A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050003683A KR20060083249A (en) 2005-01-14 2005-01-14 Method of forming field oxide in flash memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050003683A KR20060083249A (en) 2005-01-14 2005-01-14 Method of forming field oxide in flash memory device

Publications (1)

Publication Number Publication Date
KR20060083249A true KR20060083249A (en) 2006-07-20

Family

ID=37173646

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050003683A KR20060083249A (en) 2005-01-14 2005-01-14 Method of forming field oxide in flash memory device

Country Status (1)

Country Link
KR (1) KR20060083249A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100818711B1 (en) * 2006-12-07 2008-04-01 주식회사 하이닉스반도체 Method for fabricating isolation layer in semiconductor device
KR100868656B1 (en) * 2007-06-26 2008-11-12 주식회사 동부하이텍 Method for fabricating semiconductor device
KR100870276B1 (en) * 2007-01-02 2008-11-25 주식회사 하이닉스반도체 Method of manufacturing a non-volatile memory device
KR100912988B1 (en) * 2006-09-29 2009-08-20 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
CN103871880A (en) * 2012-12-13 2014-06-18 中芯国际集成电路制造(上海)有限公司 Shallow slot isolation structure manufacturing method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100912988B1 (en) * 2006-09-29 2009-08-20 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
KR100818711B1 (en) * 2006-12-07 2008-04-01 주식회사 하이닉스반도체 Method for fabricating isolation layer in semiconductor device
US7713887B2 (en) 2006-12-07 2010-05-11 Hynix Semiconductor Inc. Method for forming isolation layer in semiconductor device
KR100870276B1 (en) * 2007-01-02 2008-11-25 주식회사 하이닉스반도체 Method of manufacturing a non-volatile memory device
KR100868656B1 (en) * 2007-06-26 2008-11-12 주식회사 동부하이텍 Method for fabricating semiconductor device
CN103871880A (en) * 2012-12-13 2014-06-18 中芯国际集成电路制造(上海)有限公司 Shallow slot isolation structure manufacturing method

Similar Documents

Publication Publication Date Title
KR100898580B1 (en) Method of forming isolation layer for semiconductor device
KR101003494B1 (en) Isolation structure in memory device and fabricating method for the same
KR101002548B1 (en) Method of forming isolation layer in semiconductor device
KR20060083249A (en) Method of forming field oxide in flash memory device
KR20040074348A (en) method for forming a insulation layer and method for forming a trench isolation layer using the same
KR20080095621A (en) Method of forming an isolation layer in semiconductor device
KR100702769B1 (en) Method of forming a field oxide layer in a semiconductor device
KR101087727B1 (en) Method of forming field oxide layer in semiconductor device
KR100422959B1 (en) Method for forming isolation layer of semiconductor device
KR20070113861A (en) Method for fabricating isolation layer in flash memory device
KR20130059790A (en) Method for fabricating a memory device
KR20090001001A (en) Method of forming an isolation layer in semiconductor device
KR20110024513A (en) Method for fabricating semiconductor device
KR100437541B1 (en) Method for forming isolation layer of semiconductor device using two-step gap filling processes
KR20070058122A (en) Method for forming isolation layer of semiconductor device
KR100694976B1 (en) Method of forming a field oxide layer in semiconductor device
KR20050118489A (en) Method for isolation in semiconductor device
KR100564204B1 (en) Method of forming a isolation layer in a semiconductor device
KR100751686B1 (en) Method of forming a shallow trench isolation in a NAND flash memory device
KR100517351B1 (en) Method for manufacturing device isolation barrier of semiconductor device
KR100842904B1 (en) Method for forming isolation layer of semiconductor device
KR20080025859A (en) Method of filling patterns in semiconductor device
KR100924544B1 (en) Method for forming isolation layer of semiconductor device
KR100480625B1 (en) Method for forming trench isolation and semiconductor device comprising the same
KR100822605B1 (en) Method of forming a isolation in flash memory device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination