KR20050116487A - Method for fabrication of semiconductor device - Google Patents

Method for fabrication of semiconductor device Download PDF

Info

Publication number
KR20050116487A
KR20050116487A KR1020040041519A KR20040041519A KR20050116487A KR 20050116487 A KR20050116487 A KR 20050116487A KR 1020040041519 A KR1020040041519 A KR 1020040041519A KR 20040041519 A KR20040041519 A KR 20040041519A KR 20050116487 A KR20050116487 A KR 20050116487A
Authority
KR
South Korea
Prior art keywords
film
forming
spacer
contact hole
conductive
Prior art date
Application number
KR1020040041519A
Other languages
Korean (ko)
Inventor
신승아
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020040041519A priority Critical patent/KR20050116487A/en
Publication of KR20050116487A publication Critical patent/KR20050116487A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Abstract

본 발명은 스페이서 질화막/버퍼 산화막/실링 질화막 구조의 스페이서를 갖는 도전패턴에 얼라인되도록 실시하는 SAC 식각 공정에서 버퍼 산화막의 어택으로 인한 SAC 페일을 방지할 수 있는 반도체 소자 제조 방법을 제공하기 위한 것으로, 이를 위해 본 발명은, 전도막이 형성된 기판 상에 그 상부에 하드마스크를 구비하는 이웃하는 복수의 도전패턴을 형성하는 단계; 상기 도전패턴이 형성된 프로파일을 따라 스페이서 질화막/버퍼 산화막/실링 질화막 구조의 스페이서를 형성하는 단계; 상기 스페이서가 형성된 전면에 그 상부가 평탄화된 층간절연막을 형성하는 단계; 상기 층간절연막을 선택적으로 식각하여 상기 스페이서를 노출시키는 콘택홀을 형성하는 단계; 상기 콘택홀이 형성된 프로파일을 따라 어택방지막을 형성하는 단계; 및 상기 어택방지막과 상기 스페이서를 식각하여 상기 콘택홀 저면에서 상기 전도막을 노출시키는 단계를 포함하는 반도체 소자 제조 방법을 제공한다. The present invention is to provide a method for manufacturing a semiconductor device capable of preventing SAC fail due to the attack of the buffer oxide film in the SAC etching process to be aligned with the conductive pattern having a spacer of the spacer nitride film / buffer oxide film / sealing nitride film structure To this end, the present invention comprises the steps of forming a plurality of neighboring conductive patterns having a hard mask on the substrate on which the conductive film is formed; Forming a spacer having a spacer nitride film / buffer oxide film / sealing nitride film structure along the profile in which the conductive pattern is formed; Forming an interlayer insulating film having a planarization thereon on the entire surface where the spacer is formed; Selectively etching the interlayer insulating film to form a contact hole exposing the spacer; Forming an anti-attack layer along the profile in which the contact hole is formed; And etching the attack prevention layer and the spacer to expose the conductive layer on the bottom surface of the contact hole.

Description

반도체 소자 제조 방법{METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE} Semiconductor device manufacturing method {METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE}

본 발명은 반도체 소자 제조 방법에 관한 것으로 특히, 자기정렬콘택(Self Align Contact; 이하 SAC이라 함) 공정을 이용한 콘택홀 형성시 SAC 페일(Fail)을 방지할 수 있는 반도체 소자 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of manufacturing a semiconductor device capable of preventing SAC fail when forming a contact hole using a Self Align Contact (hereinafter referred to as SAC) process.

일반적으로, 반도체 소자는 그 내부에 다수의 단위 소자들을 포함하여 이루어진다. 반도체 소자가 고집적화되면서 일정한 셀(Cell) 면적 상에 고밀도로 소자들을 형성하여야 하며, 이로 인하여 단위 소자, 예를 들면 트랜지스터와 캐패시터들의 크기는 점차 줄어들고 있다. 특히 DRAM(Dynamic Random Access Memory)과 같은 반도체 메모리 소자에서 디자인 룰(Design rule)이 감소하면서 셀의 내부에 형성되는 반도체 소자들의 크기가 점차 작아지고 있다. 실제로 최근 반도체 DRAM 장치의 최소 선폭은 0.1㎛ 이하로 형성되며, 80nm 이하까지도 요구되고 있다. 따라서, 셀을 이루는 반도체 소자들의 제조 공정에 많은 어려움들이 발생하고 있다.In general, a semiconductor device includes a plurality of unit devices therein. As semiconductor devices become highly integrated, devices must be formed at a high density on a predetermined cell area, thereby decreasing the size of unit devices such as transistors and capacitors. In particular, as the design rules decrease in semiconductor memory devices such as DRAM (Dynamic Random Access Memory), the size of semiconductor devices formed inside the cell is gradually decreasing. In fact, in recent years, the minimum line width of the semiconductor DRAM device is formed to 0.1㎛ or less, even up to 80nm is required. Therefore, many difficulties arise in the manufacturing process of the semiconductor elements forming the cell.

80nm 이하의 선폭을 갖는 반도체 소자에서 193nm의 파장을 갖는 ArF(불화아르곤) 노광을 이용하여 포토리소그라피 공정을 적용할 경우, 기존의 식각 공정 개념(정확한 패턴 형성과 수직한 식각 프로파일 등)에 식각 도중 발생되는 포토레지스트의 변형(Deformation)의 억제라는 추가의 요구 조건이 필요하게 된다. 이에 따라 80nm 이하의 반도체 소자 제조시에는, 식각의 관점에서 기존의 요구조건과 패턴 변형 방지라는 새로운 요구 조건을 동시에 만족하기 위한 공정 조건의 개발이 주요한 과제가 되었다.In the case of applying a photolithography process using ArF (argon fluoride) exposure having a wavelength of 193 nm in a semiconductor device having a line width of 80 nm or less, the etching process is performed in accordance with the conventional etching process concept (exact pattern formation and vertical etching profile, etc.). There is a need for additional requirements of suppression of deformation of the resulting photoresist. Accordingly, when manufacturing a semiconductor device of 80 nm or less, the development of process conditions for simultaneously satisfying the existing requirements and the new requirements of pattern deformation prevention has become a major problem in terms of etching.

한편, 반도체 소자의 고집적화가 가속화됨에 따라 반도체 소자를 이루는 여러 요소들은 적층 구조를 이루게 되었고, 이에 따라 도입된 것이 콘택 플러그(또는 패드) 개념이다.Meanwhile, as the high integration of semiconductor devices is accelerated, various elements of the semiconductor devices have a stacked structure, and thus, a contact plug (or pad) concept has been introduced.

이러한 콘택 플러그를 형성함에 있어서, 하부에서의 최소의 면적으로 접촉 면적을 넓히며 상부에서는 후속 공정에 대한 공정 마진을 넓히기 위해 콘택되는 하부에 비해 그 상부의 면적이 큰 일명, 랜딩 플러그 콘택(Landing plug contact) 기술이 도입되어 통상적으로 사용되고 있다. In forming such a contact plug, a landing plug contact is known as having a larger area at the upper part than the lower part contacted to increase the contact area with a minimum area at the lower part and to increase the process margin for subsequent processes at the upper part. ) Technology has been introduced and commonly used.

또한, 이러한 콘택 형성을 위해서는 고종횡비를 갖는 구조물 사이를 식각해야 하는 어려움이 있으며, 이 때 두 물질 예컨대, 산화막과 질화막간의 식각 선택비를 이용하여 식각 프로파일을 얻는 SAC 공정이 도입되었다.In addition, in order to form such a contact, it is difficult to etch between structures having a high aspect ratio. In this case, an SAC process for obtaining an etching profile using an etching selectivity between two materials, for example, an oxide film and a nitride film, has been introduced.

SAC 공정을 위해서는 CF 및 CHF 계열의 가스를 이용하며, 이 때 하부의 도전패턴에 대한 어택을 방지하기 위해 질화막 등을 이용한 식각정지막과 스페이서 등이 필요하다.For the SAC process, CF and CHF-based gases are used, and an etch stop film and a spacer using a nitride film are required to prevent an attack on the conductive pattern below.

예컨대, 게이트 전극의 경우 그 상부 및 측면에 질화막 계열의 스페이서를 형성하고 있으며, 종횡비가 증가함에 따라 복수의 질화막이 적층된 구조로 스페이서를 이용하며, 이러한 질화막간 또는 질화막과 기판 간의 스트레스 발생으로 인한 크랙(Crack) 등의 문제와 소자의 신뢰성 측면을 고려하여 질화막 사이에 버퍼 산화막을 사용한다. 그 대표적인 예가 질화막/산화막/질화막의 3중 구조의 스페이서이다. 셀 콘택시 어택 방지를 위해 이러한 3중 구조의 상부에 질화막 계열의 식각정지막을 추가로 형성하여 사용한다.For example, in the case of a gate electrode, spacers of nitride layers are formed on upper and side surfaces thereof, and spacers are used in a structure in which a plurality of nitride layers are stacked as the aspect ratio increases, and due to stress generation between the nitride layers or between the nitride layer and the substrate. A buffer oxide film is used between nitride films in consideration of cracks and the like and reliability of the device. A representative example thereof is a spacer having a triple structure of a nitride film / oxide film / nitride film. In order to prevent cell contact attack, an etch stop layer based on a nitride film is further formed on the triple structure.

이하, 전술한 SAC 식각 공정을 이용한 셀콘택 공정을 살펴 보는 바, 도 1a 내지 도 1i는 종래기술에 따른 셀 콘택 플러그 형성 공정을 도시한 단면도이다.Hereinafter, a cell contact process using the aforementioned SAC etching process will be described, and FIGS. 1A to 1I are cross-sectional views illustrating a cell contact plug forming process according to the related art.

먼저, 도 1a에 도시된 바와 같이, 반도체 소자를 이루기 위한 여러 요소 예컨대, 필드절연막(101)과 웰(도시하지 않음) 등이 형성된 반도체 기판(100) 상에 게이트 하드마스크(104)/텅스텐막(103)/폴리실리콘막(102)/게이트 절연막(도시하지 않음)이 적층된 구조의 게이트전극 패턴을 형성한다.First, as shown in FIG. 1A, a gate hard mask 104 / tungsten film is formed on a semiconductor substrate 100 on which various elements for forming a semiconductor device, for example, a field insulating film 101 and a well (not shown), are formed. A gate electrode pattern having a structure in which (103) / polysilicon film 102 / gate insulating film (not shown) is stacked is formed.

게이트 절연막은 실리콘 산화막 등의 통상적인 산화막 계열의 물질막을 이용한다. 여기서는 게이트 전도막으로 텅스텐막(103)/폴리실리콘막(102)의 적층 구조를 사용한 것을 그 예로 하였으나, 이외에도 폴리실리콘, 텅스텐막, 텅스텐 나이트라이드, 텅스텐 실리사이드 등의 단독 또는 이들의 조합된 형태를 이용할 수 있다.The gate insulating film uses a conventional oxide film-based material film such as a silicon oxide film. In this example, a laminated structure of tungsten film 103 / polysilicon film 102 is used as the gate conductive film. In addition, polysilicon, tungsten film, tungsten nitride, and tungsten silicide are used. Or the like or a combination thereof.

게이트 하드마스크(104)는 후속 콘택 형성을 위한 식각 공정 중 층간절연막을 식각하여 콘택홀을 형성하는 과정에서 게이트 전도막이 어택받는 것을 보호하기 위한 것으로서, 층간절연막과 식각 속도가 현저하게 차이나는 물질을 사용한다. 예컨대, 층간절연막으로 산화막 계열을 사용할 경우에는 실리콘 질화막(SiN) 또는 실리콘 산화질화막(SiON) 등의 질화막 계열의 물질을 사용하고, 층간절연막으로 폴리머계 저유전율막을 사용할 경우에는 산화막 계열의 물질을 사용한다.The gate hard mask 104 is to protect the gate conductive layer from being attacked in the process of forming the contact hole by etching the interlayer insulating layer during the etching process for subsequent contact formation. use. For example, when an oxide-based layer is used as the interlayer insulating film, a nitride-based material such as silicon nitride film (SiN) or a silicon oxynitride film (SiON) is used, and when a polymer-based low dielectric film is used as the interlayer insulating film, an oxide-based material is used. do.

게이트전극 패턴 사이의 기판(100)에 소스/드레인 접합 등의 불순물 확산영역을 형성한다. An impurity diffusion region such as a source / drain junction is formed in the substrate 100 between the gate electrode patterns.

이어서, 도 1b에 도시된 게이트전극 패턴 형성을 위한 식각 공정에서 열화된 특성 회복을 위해 게이트 전극 측면을 선택적으로 산화시켜 선택 산화막(도시하지 않음)을 형성한 다음, 선택 산화막이 형성된 프로파일을 따라 스페이서(105)를 형성한다.Subsequently, in the etching process for forming the gate electrode pattern illustrated in FIG. 1B, the side of the gate electrode is selectively oxidized to form a selective oxide layer (not shown) to recover the deteriorated characteristics, and then a spacer is formed along the profile in which the selective oxide layer is formed. Form 105.

이어서, 스페이서(105)가 형성된 프로파일을 따라 TEOS(Tetra ethyl Ortho Silicate)막(106)을 형성한다.Next, a TEOS (Tetra ethyl Ortho Silicate) film 106 is formed along the profile in which the spacer 105 is formed.

도 2는 게이트전극 패턴이 형성된 프로파일을 따라 스페이서(105)가 형성된 공정 단면을 구체적으로 도시한 도면이다.FIG. 2 illustrates a process cross-section in which a spacer 105 is formed along a profile in which a gate electrode pattern is formed.

도 2를 참조하면, 폴리실리콘막(102)과 텅스텐막(103) 및 하드마스크(104)가 적층된 게이트전극 패턴이 형성되어 있고, 그 측벽에 선택적 산화 공정에 의해 선택 산화막(SO; Selective Oxide)이 형성되어 있으며, 그 프로파일을 따라 실링 질화막(105a)과 버퍼 산화막(105b) 및 스페이서 질화막(105c)으로 이루어지는 질화막(N)/산화막(O)/질화막(N) 구조의 스페이서(105)가 형성되어 있다.Referring to FIG. 2, a gate electrode pattern in which a polysilicon film 102, a tungsten film 103, and a hard mask 104 are stacked is formed, and a selective oxide film (SO) is formed on a sidewall thereof by a selective oxidation process. And a spacer 105 having a nitride film (N) / oxide film (O) / nitride film (N) structure comprising a sealing nitride film 105a, a buffer oxide film 105b, and a spacer nitride film 105c along the profile thereof. Formed.

이어서, 도 1c에 도시된 바와 같이, COR(Cell Oxide Removal) 마스크를 이용한 딥-아웃 공정을 실시하여 셀영역에서의 TEOS막(106)을 제거한다.Subsequently, as shown in FIG. 1C, a deep-out process using a COR oxide removal (COR) mask is performed to remove the TEOS film 106 in the cell region.

이어서, 도 1d에 도시된 바와 같이, COR 마스크를 제거하고 스페이서(105)가 형성된 전면에 후속 SAC 방식을 이용한 식각 공정에서 스페이서(105) 및 게이트 전극 패턴 등의 하부 구조의 어택을 방지하기 위해 식각 멈춤 역할을 하는 식각정지막(107)을 형성한다. 이 때, 하부의 프로파일을 따라 식각정지막(107)이 형성되도록 하는 것이 바람직하며, 식각정지막(107)으로는 질화막 계열의 물질막을 이용한다.Subsequently, as shown in FIG. 1D, the COR mask is removed and etching is performed to prevent attack of the underlying structures such as the spacer 105 and the gate electrode pattern in an etching process using a subsequent SAC method on the entire surface where the spacer 105 is formed. An etch stop layer 107 serving as a stop is formed. In this case, the etch stop film 107 is preferably formed along the lower profile, and a nitride film-based material film is used as the etch stop film 107.

다음으로, 도 1e에 도시한 바와 같이, 식각정지막(107)이 형성된 전체 구조 상부에 산화막 계열의 층간절연막(108)을 형성한다.Next, as shown in FIG. 1E, an oxide-based interlayer insulating film 108 is formed over the entire structure where the etch stop film 107 is formed.

층간절연막(108)을 산화막 계열의 물질막으로 이용할 경우에는 BSG(Boro-Silicate-Glass)막, BPSG(Boro-Phopho-Silicate-Glass)막, PSG(Phospho-Silicate-Glass)막, TEOS(Tetra-Ethyl-Ortho-Silicate)막, HDP(High Density Plasma) 산화막, SOG(Spin On Glass)막 또는 APL(Advanced Planarization Layer)막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계열의 저유전율막을 이용할 수 있다.When the interlayer insulating film 108 is used as an oxide-based material film, a BSG (Boro-Silicate-Glass) film, BPSG (Boro-Phopho-Silicate-Glass) film, PSG (Phospho-Silicate-Glass) film, TEOS (Tetra) -Ethyl-Ortho-Silicate (HDP) film, HDP (High Density Plasma) film, SOG (Spin On Glass) film, or APL (Advanced Planarization Layer) film, etc. have.

이어서, 도 1f에 도시된 바와 같이, 화학기계적연마(Chemical Mechanical Polishing; 이하 CMP라 함) 또는 전면식각 등의 평탄화 공정을 통해 층간절연막(108)을 평탄화시킨다. 이는 후속 포토리소그라피 공정에서 분균일한 표면으로 인한 패턴 불량을 방지하기 위한 것이다.Subsequently, as shown in FIG. 1F, the interlayer insulating film 108 is planarized through a planarization process such as chemical mechanical polishing (hereinafter referred to as CMP) or full surface etching. This is to prevent pattern defects due to uneven surfaces in subsequent photolithography processes.

이어서, 도 1g에 도시된 바와 같이, 층간절연막(108) 상에 셀 콘택 플러그 형성을 위한 포토레지스트 패턴(109)을 형성한다. 포토레지스트 패턴(109)과 그 하부의 층 사이에 통상 반사방지막을 사용하나 여기서는 설명의 간략화를 위해 생략하였다.Subsequently, as shown in FIG. 1G, a photoresist pattern 109 for forming a cell contact plug is formed on the interlayer insulating film 108. An anti-reflection film is usually used between the photoresist pattern 109 and the underlying layer, but is omitted here for the sake of simplicity.

계속해서, 도 1h에 도시된 바와 같이, 포토레지스트 패턴(109)을 식각마스크로 층간절연막(108)과 식각정지막(107) 및 스페이서(105)를 식각하여 이웃하는 게이트전극 패턴 사이의 기판(100)을 노출시키는 콘택홀(110)을 형성한다.Subsequently, as shown in FIG. 1H, the interlayer insulating film 108, the etch stop film 107 and the spacer 105 are etched using the photoresist pattern 109 as an etch mask to form a substrate between adjacent gate electrode patterns ( A contact hole 110 exposing 100 is formed.

전술한 콘택홀(110) 형성 공정은 대체적으로, 층간절연막(108)과 게이트 하드마스크(104)의 식각선택비를 이용한 SAC 식각 공정으로, 포토레지스트 패턴(109)을 식각마스크로 층간절연막(108)을 식각하여 식각정지막(107)에서 식각 멈춤을 하는 SAC 식각 공정과, 식각정지막(107)과 스페이서(106)를 제거하여 기판(100, 구체적으로는 불순물 확산영역)을 노출시키는 콘택홀(109) 오픈 공정 및 콘택홀(109)의 개구부를 확장하며 식각 잔류물을 제거하기 위한 세정 공정 등으로 나뉜다. The above-described contact hole 110 forming process is generally an SAC etching process using an etch selectivity of the interlayer insulating film 108 and the gate hard mask 104. The photoresist pattern 109 is used as an etching mask. ) And a contact hole for exposing the substrate 100 (specifically, impurity diffusion region) by removing the etch stop layer 107 and the spacer 106 by etching the SAC etch process to stop the etch stop in the etch stop layer 107. (109) It is divided into an open process and a cleaning process for removing the etching residue by expanding the opening of the contact hole 109.

이러한 식각 공정에서는 주로 CF4 등의 CxFy(x,y는 1 ∼ 10) 가스와 CH2F2 등의 CaHbFc(a,b,c는 1 ∼ 10) 가스를 혼합하여 사용한다.In such an etching process, CxFy (x, y is 1 to 10) gas, such as CF 4 , and CaHbFc (a, b, c is 1 to 10) gas, such as CH 2 F 2 , are mixed and used.

한편, 질화막은 스트레스에 취약한 특성이 있는 바, 이를 해결하기 위해 스페이서(105)를 스페이서 질화막(105c)/버퍼 산화막(105b)/실링 질화막(105a) 구조로 형성한다. 이러한 적층 구조에서 스페이서 질화막(105c)의 과도 식각으로 인해 스페이서 질화막(105c)에 마이크로 크랙(Micro crack)이 발생하게 되면, 이러한 마이크로 크랙을 따라 투입된 습식 케미컬에 의해 버퍼 산화막(105b)을 따라 어택이 발생한다.On the other hand, since the nitride film is vulnerable to stress, the spacer 105 is formed to have a spacer nitride film 105c / buffer oxide film 105b / sealing nitride film 105a structure. In such a stacked structure, when micro cracks are generated in the spacer nitride film 105c due to excessive etching of the spacer nitride film 105c, the attack is performed along the buffer oxide film 105b by the wet chemical injected along the micro cracks. Occurs.

이러한 어택은 결국 후속 셀 콘택 플러그와 게이트 전도막 사이의 브릿지를 유발하게 된다.This attack eventually causes a bridge between the subsequent cell contact plug and the gate conductive film.

도면부호 '111'은 식각시 스페이서(105)가 식각되어 버퍼 산화막(105b)이 손실되는 취약 부분을 나타낸다.Reference numeral 111 denotes a fragile portion in which the spacer 105 is etched during the etching and thus the buffer oxide layer 105b is lost.

이어서, 애싱(Ashing) 공정을 통해 포토레지스트 패턴(109)을 제거하는 바, 반사방지막으로 유기 계열의 물질을 사용할 경우 이러한 애싱 공정에서 포토레지스트 패턴(109)과 같이 제거된다.Subsequently, the photoresist pattern 109 is removed through an ashing process. When an organic material is used as the antireflection film, the photoresist pattern 109 is removed as in the ashing process.

이어서, 도 1i에 도시된 바와 같이, 콘택홀(110)이 형성된 전면에 플러그 형성용 전도성 물질을 증착하여 콘택홀(110)을 충분히 매립시킨 다음, 게이트 하드마스크(104)가 노출되는 타겟으로 평탄화 공정을 실시하여 콘택홀(110)을 통해 기판(100)의 불순물 확산영역과 전기적으로 도통되며 게이트 하드마스크(104) 또는 층간절연막(108)과 평탄화된 플러그(112)를 형성한다. 플러그 형성용 전도성 물질로는 주로 폴리실리콘을 사용한다.Subsequently, as shown in FIG. 1I, a plug forming conductive material is deposited on the entire surface where the contact hole 110 is formed to sufficiently fill the contact hole 110, and then planarize to a target to which the gate hard mask 104 is exposed. The process may be performed to electrically connect the impurity diffusion region of the substrate 100 through the contact hole 110 to form the gate hard mask 104 or the interlayer insulating layer 108 and the flattened plug 112. As the plug forming conductive material, polysilicon is mainly used.

플러그(110) 아이솔레이션을 위한 평탄화시에는 주로 CMP 공정을 이용한다.In the planarization for plug 110 isolation, a CMP process is mainly used.

버퍼 산화막(105b)이 어택된 부분에서도면부호 '113'과 같이 게이트 전도막과 플러그 간의 브릿지가 유발되어 전기적 단락이 발생한다. Even in a portion where the buffer oxide film 105b is attacked, a bridge between the gate conductive film and the plug is induced as shown by reference numeral 113 to generate an electrical short circuit.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 스페이서 질화막/버퍼 산화막/실링 질화막 구조의 스페이서를 갖는 도전패턴에 얼라인되도록 실시하는 SAC 식각 공정에서 버퍼 산화막의 어택으로 인한 SAC 페일을 방지할 수 있는 반도체 소자 제조 방법을 제공하는 것을 그 목적으로 한다. The present invention has been proposed to solve the above problems of the prior art, SAC fail due to the attack of the buffer oxide film in the SAC etching process to be aligned with the conductive pattern having a spacer of the spacer nitride film / buffer oxide film / sealing nitride film structure It is an object of the present invention to provide a method for manufacturing a semiconductor device capable of preventing the damage.

상기의 목적을 달성하기 위해 본 발명은, 전도막이 형성된 기판 상에 그 상부에 하드마스크를 구비하는 이웃하는 복수의 도전패턴을 형성하는 단계; 상기 도전패턴이 형성된 프로파일을 따라 스페이서 질화막/버퍼 산화막/실링 질화막 구조의 스페이서를 형성하는 단계; 상기 스페이서가 형성된 전면에 그 상부가 평탄화된 층간절연막을 형성하는 단계; 상기 층간절연막을 선택적으로 식각하여 상기 스페이서를 노출시키는 콘택홀을 형성하는 단계; 상기 콘택홀이 형성된 프로파일을 따라 어택방지막을 형성하는 단계; 및 상기 어택방지막과 상기 스페이서를 식각하여 상기 콘택홀 저면에서 상기 전도막을 노출시키는 단계를 포함하는 반도체 소자 제조 방법을 제공한다. In order to achieve the above object, the present invention comprises the steps of: forming a plurality of neighboring conductive patterns having a hard mask thereon on a substrate on which a conductive film is formed; Forming a spacer having a spacer nitride film / buffer oxide film / sealing nitride film structure along the profile in which the conductive pattern is formed; Forming an interlayer insulating film having a planarization thereon on the entire surface where the spacer is formed; Selectively etching the interlayer insulating film to form a contact hole exposing the spacer; Forming an anti-attack layer along the profile in which the contact hole is formed; And etching the attack prevention layer and the spacer to expose the conductive layer on the bottom surface of the contact hole.

본 발명은 질화막/산화막/질화막 구조의 스페이서를 갖는 도전패턴(예컨대, 게이트 전극 패턴, 비트라인 등) 형성 후 식각정지막을 형성하지 않고, 층간절연막 형성과 스페이서를 노출시키는 SAC 식각 공정을 실시한 다음, 콘택 오픈 공정 전에 콘택홀이 형성된 프로파일을 따라 어택방지막을 형성함으로써, 어택정지막을 이용하여 콘택 오픈 공정시 스페이서를 이루는 산화막을 따라 발생하는 케미컬에 의한 어택을 방지할 수 있도록 한다. In the present invention, after forming a conductive pattern (eg, a gate electrode pattern, a bit line, etc.) having a spacer having a nitride film, an oxide film, or a nitride film structure, an SAC etching process is performed without forming an etch stop film and exposing the spacers and exposing the spacers. By forming an attack prevention film along the profile of the contact hole formed before the contact opening process, it is possible to prevent the attack by the chemical generated along the oxide film forming the spacer during the contact opening process using the attack stop film.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. do.

도 3a 내지 도 3j는 본 발명의 일실시예에 따른 셀 콘택 플러그 형성 공정을 도시한 단면도로서, 이를 참조하여 본 발명의 일실시예에 따른 플러그 형성 공정을 살펴본다.3A to 3J are cross-sectional views illustrating a cell contact plug forming process according to an exemplary embodiment of the present invention. With reference to this, a plug forming process according to an exemplary embodiment of the present invention will be described.

먼저, 도 3a에 도시된 바와 같이, 반도체 소자를 이루기 위한 여러 요소 예컨대, 필드절연막(301)과 웰(도시하지 않음) 등이 형성된 반도체 기판(300) 상에 게이트 하드마스크(304)/텅스텐막(303)/폴리실리콘막(302)/게이트 절연막(도시하지 않음)이 적층된 구조의 게이트전극 패턴을 형성한다.First, as shown in FIG. 3A, a gate hard mask 304 / tungsten film is formed on a semiconductor substrate 300 on which various elements for forming a semiconductor device, for example, a field insulating film 301 and a well (not shown), are formed. A gate electrode pattern having a structure in which 303 / polysilicon film 302 / gate insulating film (not shown) is stacked is formed.

게이트 절연막은 실리콘 산화막 등의 통상적인 산화막 계열의 물질막을 이용한다. 여기서는 게이트 전도막으로 텅스텐막(103)/폴리실리콘막(102)의 적층 구조를 사용한 것을 그 예로 하였으나, 이외에도 폴리실리콘, 텅스텐막, 텅스텐 나이트라이드, 텅스텐 실리사이드 등의 단독 또는 이들의 조합된 형태를 이용할 수 있다.The gate insulating film uses a conventional oxide film-based material film such as a silicon oxide film. In this example, a laminated structure of tungsten film 103 / polysilicon film 102 is used as the gate conductive film. In addition, polysilicon, tungsten film, tungsten nitride, and tungsten silicide are used. Or the like or a combination thereof.

게이트 하드마스크(304)는 후속 콘택 형성을 위한 식각 공정 중 층간절연막을 식각하여 콘택홀을 형성하는 과정에서 게이트 전도막이 어택받는 것을 보호하기 위한 것으로서, 층간절연막과 식각 속도가 현저하게 차이나는 물질을 사용한다. 예컨대, 층간절연막으로 산화막 계열을 사용할 경우에는 실리콘 질화막(SiN) 또는 실리콘 산화질화막(SiON) 등의 질화막 계열의 물질을 사용하고, 층간절연막으로 폴리머계 저유전율막을 사용할 경우에는 산화막 계열의 물질을 사용한다.The gate hard mask 304 protects the gate conductive layer from being attacked in the process of forming the contact hole by etching the interlayer insulating layer during the etching process for the subsequent contact formation. use. For example, when an oxide-based layer is used as the interlayer insulating film, a nitride-based material such as silicon nitride film (SiN) or a silicon oxynitride film (SiON) is used, and when a polymer-based low dielectric film is used as the interlayer insulating film, an oxide-based material is used. do.

게이트전극 패턴 사이의 기판(300)에 소스/드레인 접합 등의 불순물 확산영역을 형성한다.An impurity diffusion region such as a source / drain junction is formed in the substrate 300 between the gate electrode patterns.

이어서, 도 3b에 도시된 게이트전극 패턴 형성을 위한 식각 공정에서 열화된 특성 회복을 위해 게이트 전극 측면을 선택적으로 산화시켜 선택 산화막(도시하지 않음)을 형성한 다음, 선택 산화막이 형성된 프로파일을 따라 스페이서(305)를 형성한다.Subsequently, in the etching process for forming the gate electrode pattern shown in FIG. 3B, the side of the gate electrode is selectively oxidized to form a selective oxide layer (not shown) to recover the deteriorated characteristics, and then a spacer is formed along the profile in which the selective oxide layer is formed. 305 is formed.

이어서, 스페이서(305)가 형성된 프로파일을 따라 TEOS막(306)을 형성한다.Next, the TEOS film 306 is formed along the profile in which the spacer 305 is formed.

도 4는 게이트전극 패턴이 형성된 프로파일을 따라 스페이서(305)가 형성된 공정 단면을 구체적으로 도시한 도면이다.4 is a view illustrating a process cross section in which a spacer 305 is formed along a profile in which a gate electrode pattern is formed.

도 4를 참조하면, 폴리실리콘막(302)과 텅스텐막(303) 및 하드마스크(304)가 적층된 게이트전극 패턴이 형성되어 있고, 그 측벽에 선택적 산화 공정에 의해 선택 산화막(SO)이 형성되어 있으며, 그 프로파일을 따라 실링 질화막(305a)과 버퍼 산화막(305b) 및 스페이서 질화막(305c)으로 이루어지는 질화막(N)/산화막(O)/질화막(N) 구조의 스페이서(305)가 형성되어 있다.Referring to FIG. 4, a gate electrode pattern in which a polysilicon film 302, a tungsten film 303, and a hard mask 304 are stacked is formed, and a selective oxide film SO is formed on a sidewall thereof by a selective oxidation process. A spacer 305 having a nitride film (N) / oxide film (O) / nitride film (N) structure including a sealing nitride film 305a, a buffer oxide film 305b, and a spacer nitride film 305c is formed along the profile. .

여기서, 선택 산화막(SO)은 25Å, 실링 질화막(305a)은 70Å, 버퍼 산화막(305b)은 80Å, 스페이서 질화막(305c)은 90Å의 두께 정도로 하는 것이 바람직하다.Here, it is preferable that the selective oxide film SO has a thickness of 25 GPa, the sealing nitride film 305a is 70 GPa, the buffer oxide film 305b is 80 GPa, and the spacer nitride film 305c is about 90 GPa.

이어서, 도 3c에 도시된 바와 같이, COR 마스크를 이용한 딥-아웃 공정을 실시하여 셀영역에서의 TEOS막(306)을 제거한다.Subsequently, as shown in FIG. 3C, a dip-out process using a COR mask is performed to remove the TEOS film 306 in the cell region.

이어서, 도 3d에 도시된 바와 같이, COR 마스크를 제거한 다음, 스페이서(305)가 형성된 전체 구조 상부에 산화막 계열의 층간절연막(307)을 형성한다.Subsequently, as shown in FIG. 3D, after removing the COR mask, an oxide-based interlayer insulating film 307 is formed on the entire structure where the spacer 305 is formed.

층간절연막(307)을 산화막 계열의 물질막으로 이용할 경우에는 BSG막, BPSG막, PSG막, TEOS막, HDP 산화막, SOG막 또는 APL막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계열의 저유전율막을 이용할 수 있다.When the interlayer insulating film 307 is used as an oxide-based material film, a BSG film, a BPSG film, a PSG film, a TEOS film, an HDP oxide film, an SOG film, or an APL film is used. In addition to the oxide film, an inorganic or organic low dielectric constant is used. Membrane can be used.

이어서, 도 3e에 도시된 바와 같이, CMP 또는 전면식각 등의 평탄화 공정을 통해 층간절연막(307)을 평탄화시킨다. 이는 후속 포토리소그라피 공정에서 분균일한 표면으로 인한 패턴 불량을 방지하기 위한 것이다.Subsequently, as shown in FIG. 3E, the interlayer insulating film 307 is planarized through a planarization process such as CMP or full surface etching. This is to prevent pattern defects due to uneven surfaces in subsequent photolithography processes.

이어서, 도 3f에 도시된 바와 같이, 층간절연막(307) 상에 셀 콘택 플러그 형성을 위한 마스크 패턴(308)을 형성한다. Subsequently, as illustrated in FIG. 3F, a mask pattern 308 for forming a cell contact plug is formed on the interlayer insulating layer 307.

여기서, 마스크 패턴(308)은 통상의 포토레지스트 패턴일 수도 있고, 포토레지스트 패턴과 하드마스크를 포함할 수도 있고, 하드마스크 만을 지칭할 수도 있다. 하드마스크 재료로는 Al2O3 또는 질화막 계열의 절연성 물질이나 텅스텐 또는 폴리실리콘 등의 전도성 물질을 이용할 수 있다.Here, the mask pattern 308 may be a conventional photoresist pattern, may include a photoresist pattern and a hard mask, or may refer to only a hard mask. As the hard mask material, an insulating material based on Al 2 O 3 or a nitride film, or a conductive material such as tungsten or polysilicon may be used.

즉, 이는 포토리소그라피 공정에서의 해상력의 한계로 인한 포토레지스트의 식각 내성을 확보하고 패턴 변형을 방지하기 위해 텅스텐, 폴리실리콘 또는 질화막 등의 희생 하드마스크를 사용할 수도 있음을 나타낸다.That is, this indicates that a sacrificial hard mask such as tungsten, polysilicon, or nitride may be used to secure the etching resistance of the photoresist and prevent the pattern deformation due to the limitation of the resolution in the photolithography process.

한편, 포토레지스트 패턴 형성시 그 하부와의 사이에 반사방지막을 사용할 수 있다. 반사방지막은 패턴 형성을 위한 노광시 하부의 광반사도가 높아 난반사가 이루어져 원하지 않는 패턴이 형성되는 것을 방지하며, 하부 구조와 포토레지스트의 접착력을 향상시킬 목적으로 포토레지스트 패턴과 하부 구조 사이에 사용한다. 이 때, 반사방지막은 포토레지스트와 그 식각 특성이 유사한 유기 계열의 물질을 주로 사용하며, 공정에 따라서는 이를 생략할 수도 있다. On the other hand, when forming a photoresist pattern, an anti-reflection film can be used between the lower part and the lower part. The anti-reflection film is used between the photoresist pattern and the lower structure for the purpose of improving the adhesion between the lower structure and the photoresist to prevent unwanted reflections due to high reflectivity of the lower part during exposure for pattern formation and to prevent unwanted reflections. . In this case, the antireflection film mainly uses an organic-based material having similar etching characteristics to that of the photoresist, and may be omitted depending on a process.

포토레지스트 패턴 형성 공정을 보다 구체적으로 살펴 보면, 층간절연막(307)이나 반사방지막 또는 하드마스크용 물질막 등의 하부 구조 상에 F2 노광원용 또는 ArF 노광원용의 포토레지스트 예를 들어, ArF 노광원용 포토레지스트인 COMA 또는 아크릴레이드를 스핀 코팅 등의 방법을 통해 적절한 두께로 도포한 다음, F2 노광원 또는 ArF 노광원과 콘택 플러그의 폭을 정의하기 위한 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정에 의해 노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔유물 등을 제거함으로써 셀 콘택 오픈 마스크인 포토레지스트 패턴을 형성한다.Looking at the photoresist pattern forming process in more detail, a photoresist for an F 2 exposure source or an ArF exposure source on an underlying structure such as an interlayer insulating film 307, an antireflection film, or a hard mask material film, for example, an ArF exposure source. The photoresist, COMA or acrylate, is applied to an appropriate thickness by spin coating or the like, and then a predetermined reticle (not shown) is used to define the width of the F 2 exposure source or ArF exposure source and the contact plug. A photoresist as a cell contact open mask is selectively exposed by selectively exposing a predetermined portion of the photoresist, leaving portions exposed or not exposed by the exposure process through a developing process, and then removing etch residues through a post-cleaning process or the like. Form a pattern.

여기서, 포토레지스트 패턴은 홀타입, 바타입 또는 티타입 등의 형태를 사용할 수 있다.Here, the photoresist pattern may be in the form of a hole type, bar type or tee type.

이어서, 도 3g에 도시된 바와 같이, 마스크 패턴(308)을 식각마스크로 피식각층인 층간절연막(307)을 식각하여 이웃하는 게이트전극 패턴 사이의 스페이서(305)에서 식각이 멈추는 SAC 식각 공정을 실시하여 콘택홀(309)을 형성한다.Subsequently, as shown in FIG. 3G, the interlayer insulating layer 307, which is a layer to be etched, is etched using the mask pattern 308 as an etch mask to perform an SAC etching process in which the etching is stopped at the spacers 305 between neighboring gate electrode patterns. To form a contact hole 309.

이 때, 통상의 SAC 식각 공정의 레시피를 적용하는 바, 불소계플라즈마 예컨대, C2F4, C2F6, C3F8, C4 F6, C5F8 또는 C5F10 등의 CxFy(x,y는 1 ∼ 10)를 주식각가스로 하며, 여기에 SAC 공정시 폴리머를 발생시키기 위한 가스 즉, CH2F2, C 3HF5 또는 CHF3 등의 CaHbFc(a,b,c는 1 ∼ 10) 가스를 첨가하며, 이 때 캐리어 가스로 He, Ne, Ar 또는 Xe 등의 비활성 가스를 사용한다.At this time, the recipe of the conventional SAC etching process is applied, such as fluorine-based plasma, such as C 2 F 4 , C 2 F 6 , C 3 F 8 , C 4 F 6 , C 5 F 8 or C 5 F 10 CxFy (x, y is 1 to 10) as a stock corner gas, and a gas for generating a polymer in the SAC process, that is, CaHbFc (a, b, such as CH 2 F 2 , C 3 HF 5, or CHF 3 ). c adds 1-10) gas, and uses inert gas, such as He, Ne, Ar, or Xe, as a carrier gas at this time.

이어서, 마스크 패턴(308)을 제거한다. Next, the mask pattern 308 is removed.

콘택 플러그 형성을 위한 콘택홀(309) 형성 공정은 대체적으로, 층간절연막(308)과 게이트 하드마스크(304)의 식각선택비를 이용한 SAC 식각 공정으로, 마스크 패턴(308)을 식각마스크로 층간절연막(308)을 식각하여 식각정지막 등에서 식각 멈춤을 하는 SAC 식각 공정과, 식각정지막과 스페이서(305)를 제거하여 기판(300, 구체적으로는 불순물 확산영역)을 노출시키는 콘택홀(309) 오픈 공정 및 콘택홀(309)의 개구부를 확장하며 식각 잔류물을 제거하기 위한 세정 공정 등으로 나뉜다.The process of forming the contact hole 309 for forming the contact plug is generally a SAC etching process using an etching selectivity of the interlayer insulating film 308 and the gate hard mask 304. The interlayer insulating film is formed by using the mask pattern 308 as an etching mask. 308 is etched to stop the etch stop in the etch stop film or the like, and the contact hole 309 to expose the substrate 300 (specifically, impurity diffusion region) by removing the etch stop film and the spacer 305 is opened. The process and the opening of the contact hole 309 is expanded and divided into a cleaning process for removing the etching residue.

그러나, 본 발명에서는 SAC 식각 또는 연속되는 콘택 오픈 공정에서 스페이서를 이루는 스페이서 질화막(305c)에 발생된 마이크로 크랙으로 인해 버퍼 산화막(305b)이 손실되는 것을 방지하기 위해 SAC 식각 공정 전에 식각정지막을 형성하지 않고, SAC 식각 및 마스크 패턴(108) 제거 후 콘택홀이 형성된 프로파일을 따라 어택방지막(310)을 형성한다.However, in the present invention, the etch stop layer is not formed before the SAC etching process in order to prevent the buffer oxide layer 305b from being lost due to the micro cracks generated in the spacer nitride layer 305c forming the spacer in the SAC etching or continuous contact opening process. Instead, the attack prevention layer 310 is formed along the profile in which the contact holes are formed after the SAC etching and mask pattern 108 are removed.

따라서, 어택방지막(310)으로 인해 콘택 오픈 및 세정 공정에서 습식 케미컬이 스페이서 질화막(305c)의 에지 스트레인(Edge strain)으로 인한 마이크로 크랙을 통해 침투하는 것을 방지할 수 있다.Therefore, due to the attack prevention film 310, it is possible to prevent the wet chemical from penetrating through the micro crack due to the edge strain of the spacer nitride film 305c in the contact opening and cleaning process.

즉, 도 3h에 도시된 바와 같이, 콘택홀(309)이 형성된 프러파일을 따라 어택방지막(310)을 형성한다.That is, as shown in FIG. 3H, the attack prevention layer 310 is formed along the profile in which the contact hole 309 is formed.

어택방지막(310)은 1Å ∼ 1000Å의 두께로 형성하는 것이 바람직하며, 실리콘질화막 또는 실리콘 산화질화막 등의 질화막 계열의 물질막을 사용한다.The attack prevention film 310 is preferably formed to a thickness of 1 kPa to 1000 kPa, and a nitride film-based material film such as a silicon nitride film or a silicon oxynitride film is used.

한편, 마스크 패턴(308)이 포토레지스트 패턴을 포함하는 경우 포토레지스트 패턴과 반사방지막 만을 제거하고 하드마스크는 남겨 놓을 수 있다. 이 때 남은 하드마스크는 후속 플러그 아이솔레이션을 위한 평탄화 공정에서 제거할 수 있다. 그러나, CD 측정을 위한 SEM 촬영시 하드마스크로 인해 촬영에 지장이 있을 수 있으므로 하드마스크 또한 제거하는 것이 바람직하다.Meanwhile, when the mask pattern 308 includes the photoresist pattern, only the photoresist pattern and the anti-reflection film may be removed and the hard mask may be left. The remaining hard mask can then be removed in the planarization process for subsequent plug isolation. However, it may be desirable to remove the hard mask because the hard mask may interfere with the SEM imaging for CD measurement.

반사방지막을 유기 계열로 사용할 경우 포토레지스트 패턴 제거를 위한 애싱 공정에서 포토레지스트 패턴과 같이 제거가 가능하다.When the antireflection film is used as an organic series, it can be removed like the photoresist pattern in the ashing process for removing the photoresist pattern.

이어서, 도 3i에 도시된 바와 같이, 전면식각 또는 습식 세정 공정을 실시하여 콘택홀(309) 저면에서의 어택방지막(310)과 스페이서(305)를 제거하여 기판(300, 구체적으로는 불순물 확산영역)을 노출시키는 콘택 오픈 공정을 실시한다.Subsequently, as shown in FIG. 3I, an anti-attack layer 310 and a spacer 305 are removed from the bottom of the contact hole 309 by performing an entire surface etching or wet cleaning process to remove the substrate 300, specifically, an impurity diffusion region. The contact opening process is performed to expose.

계속해서, 콘택홀 저면의 CD를 확보하고 SAC 및 전면 식각 등의 공정 후 잔류하는 식각 부산물을 제거하기 위해 BOE 등의 세정액을 이용하여 습식 세정을 실시한다. 세정 시에는 BOE 또는 불산을 이용하는 바, 불산의 경우 물과 불산의 비가 50:1 ∼ 500:1인 묽은 불산을 이용하는 것이 바람직하다. 아울러, 불산에 과수(H2O2)와 순수(H2O)가 혼합된 케미컬을 사용할 수도 있다.Subsequently, wet cleaning is performed using a cleaning solution such as BOE to secure the CD on the bottom of the contact hole and to remove the etching by-products remaining after the SAC and the front surface etching. When washing, BOE or hydrofluoric acid is used. In the case of hydrofluoric acid, it is preferable to use dilute hydrofluoric acid having a ratio of 50: 1 to 500: 1 in water and hydrofluoric acid. In addition, it is also possible to use a chemical mixture of the fruit water (H 2 O 2 ) and pure water (H 2 O) in hydrofluoric acid.

이 때, 습식 케미컬의 어택에 상대적으로 취약했던 '311'의 부분에서 어택방지막(310)에 의해 어택이 방지됨을 확인할 수 있다.At this time, it can be seen that the attack is prevented by the attack prevention layer 310 at the portion of '311' which was relatively vulnerable to the attack of the wet chemical.

여기서, 플러그 형성용 전도막 물질로 가장 많이 사용되는 물질은 폴리실리콘이며, Ti, TiN 등의 배리어메탈층과 적층하여 형성하기도 하며, 폴리실리콘 대신 텅스텐 등의 금속을 사용할 수도 있다. 폴리실리콘을 사용하여 증착할 경우 그 증착 온도는 450℃ ∼ 700℃ 정도로 하는 것이 바람직하다.Here, the most commonly used material for forming a conductive film for plug formation is polysilicon, and may be formed by laminating with barrier metal layers such as Ti and TiN, and metal such as tungsten may be used instead of polysilicon. When depositing using polysilicon, the deposition temperature is preferably about 450 ° C to 700 ° C.

한편, 전술한 실시예에서는 셀 콘택 플러그 형성 공정을 그 예로 하였으나, 비트라인 콘택 플러그나, 스토리지노드 콘택 플러그 형성 공정에 이를 적용할 수 있다.Meanwhile, in the above-described embodiment, the cell contact plug forming process is taken as an example, but it may be applied to a bit line contact plug or a storage node contact plug forming process.

아울러, 그 측면에 질화막/산화막/질화막 구조의 스페이서를 갖는 도전패턴의 측면에 얼라인되는 모든 콘택홀 형성 공정에 적용이 가능하다.In addition, the present invention can be applied to any contact hole forming process aligned to the side surface of the conductive pattern having a spacer having a nitride film / oxide film / nitride film on its side surface.

따라서, 스토리지노드 콘택 플러그 형성 공정일 경우 하부의 불순물 확산영역은 셀 콘택 플러그 또는 콘택 패드로 대체될 것이고, 게이트 전극 패턴은 비트라인으로 대체될 것이다. Therefore, in the storage node contact plug forming process, the lower impurity diffusion region may be replaced by a cell contact plug or a contact pad, and the gate electrode pattern may be replaced by a bit line.

전술한 바와 같이 이루어지는 본 발명은, 그 측면에 N/O/N 구조의 스페이서를 갖는 게이트전극 패턴 또는 비트라인 등의 도전패턴의 측면에 얼라인되는 콘택 플러그 형성을 위한 식각 공정을 실시함에 있어서, 식각 공정 전에 스페이서 상에 형성하던 식각정지막을 생략하고 식각 공정을 스페이서 상부에서 멈춘 후, 식각된 프로파일 즉, 콘택홀이 형성된 프로파일을 따라 어택방지막을 형성하고 콘택 오픈 공정을 실시함으로써, 스페이서 질화막의 에지 스트레인으로 인한 마이크로 크랙을 통해 침투한 습식 케미컬로 인한 버퍼 산화막의 손실을 방지할 수 있음을 실시예를 통해 알아 보았다. According to the present invention made as described above, in performing an etching process for forming a contact plug which is aligned with a side surface of a conductive pattern such as a gate electrode pattern or a bit line having a spacer having an N / O / N structure on its side, By eliminating the etch stop layer formed on the spacer before the etch process and stopping the etch process on the spacer, the anti-tack film is formed along the etched profile, that is, the contact hole formed profile, and the contact open process is performed. It was found through the examples that the loss of the buffer oxide film due to the wet chemical penetrated through the micro crack due to the strain can be prevented.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다. Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 바와 같은 본 발명은, 콘택 형성 공정시 SAC 페일을 방지할 수 있으며, 플러그와 도전패턴 간의 브릿지 발생을 억제할 수 있어, 반도체 소자의 수율을 향상시키는 효과가 있다.As described above, the present invention can prevent SAC failing during the contact forming process, and can suppress the occurrence of bridges between the plug and the conductive pattern, thereby improving the yield of the semiconductor device.

도 1a 내지 도 1i는 종래기술에 따른 셀 콘택 플러그 형성 공정을 도시한 단면도.1A to 1I are cross-sectional views illustrating a cell contact plug forming process according to the prior art.

도 2는 게이트전극 패턴이 형성된 프로파일을 따라 스페이서가 형성된 공정 단면을 구체적으로 도시한 도면.FIG. 2 is a view illustrating a process cross section in which a spacer is formed along a profile in which a gate electrode pattern is formed; FIG.

도 3a 내지 도 3j는 본 발명의 일실시예에 따른 셀 콘택 플러그 형성 공정을 도시한 단면도.3A to 3J are cross-sectional views illustrating a cell contact plug forming process according to an embodiment of the present invention.

도 4는 게이트전극 패턴이 형성된 프로파일을 따라 스페이서(305)가 형성된 공정 단면을 구체적으로 도시한 도면. 4 is a view illustrating a process cross section in which a spacer 305 is formed along a profile in which a gate electrode pattern is formed;

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

300: 기판 301 : 소자분리막300: substrate 301: device isolation film

302 : 게이트 절연막 303 : 텅스텐막302: gate insulating film 303: tungsten film

304 : 폴리실리콘막 305 : 게이트 하드마스크304: polysilicon film 305: gate hard mask

307 : 층간절연막 309 : 콘택홀307: interlayer insulating film 309: contact hole

310 : 어택방지막 311 : 케미컬 어택 취약 부분310: Attack prevention film 311: Chemical attack weak part

Claims (11)

전도막이 형성된 기판 상에 그 상부에 하드마스크를 구비하는 이웃하는 복수의 도전패턴을 형성하는 단계;Forming a plurality of neighboring conductive patterns having a hard mask on the substrate on which the conductive film is formed; 상기 도전패턴이 형성된 프로파일을 따라 스페이서 질화막/버퍼 산화막/실링 질화막 구조의 스페이서를 형성하는 단계;Forming a spacer having a spacer nitride film / buffer oxide film / sealing nitride film structure along the profile in which the conductive pattern is formed; 상기 스페이서가 형성된 전면에 그 상부가 평탄화된 층간절연막을 형성하는 단계;Forming an interlayer insulating film having a planarization thereon on the entire surface where the spacer is formed; 상기 층간절연막을 선택적으로 식각하여 상기 스페이서를 노출시키는 콘택홀을 형성하는 단계; Selectively etching the interlayer insulating film to form a contact hole exposing the spacer; 상기 콘택홀이 형성된 프로파일을 따라 어택방지막을 형성하는 단계; 및Forming an anti-attack layer along the profile in which the contact hole is formed; And 상기 어택방지막과 상기 스페이서를 식각하여 상기 콘택홀 저면에서 상기 전도막을 노출시키는 단계Etching the attack prevention layer and the spacer to expose the conductive layer on the bottom of the contact hole; 를 포함하는 반도체 소자 제조 방법.Semiconductor device manufacturing method comprising a. 제 1 항에 있어서, The method of claim 1, 상기 어택방지막은 질화막을 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.The attack prevention film is a semiconductor device manufacturing method comprising a nitride film. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 어택방지막을 1Å 내지 1000Å의 두께로 형성하는 것을 특징으로 하는 반도체 소자 제조 방법.The attack prevention film is formed to a thickness of 1 ~ 1000Å semiconductor device manufacturing method characterized in that. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 콘택홀을 형성하는 단계는,Forming the contact hole, 상기 층간절연막을 포함하는 전면에 콘택홀 형성을 위한 마스크 패턴을 형성하는 단계와,Forming a mask pattern for forming a contact hole on the entire surface including the interlayer insulating layer; 상기 마스크 패턴을 식각마스크로 상기 층간절연막을 식각하여 상기 스페이서를 노출시키는 콘택홀을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.And forming a contact hole exposing the spacer by etching the interlayer insulating layer using the mask pattern as an etch mask. 제 4 항에 있어서,The method of claim 4, wherein 상기 콘택홀을 형성하는 단계에서,In the forming of the contact hole, CxFy(x,y는 1 ∼ 10)를 주식각가스로 하며, 여기에 폴리머를 발생시키기 위한 가스 즉, CaHbFc(a,b,c는 1 내지 10)를 첨가하며, 이 때 캐리어 가스로 He, Ne, Ar 또는 Xe 중 어느 하나의 비활성 가스를 사용하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.CxFy (x, y is 1 to 10) as a stock corner gas, and a gas for generating a polymer, that is, CaHbFc (a, b, c is 1 to 10) is added thereto, and He, A method for forming a contact plug in a semiconductor device, comprising using an inert gas of any one of Ne, Ar, or Xe. 제 5 항에 있어서,The method of claim 5, 상기 마스크 패턴을 형성하는 단계에서, ArF 또는 F2의 노광원을 이용한 포토리소그라피 공정을 이용하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.In the forming of the mask pattern, a photolithography process using an exposure source of ArF or F 2 is used. 제 4 항에 있어서,The method of claim 4, wherein 상기 마스크 패턴은,The mask pattern is, 포토레지스트 패턴, 포토레지스트 패턴/희생 하드마스크 또는 희생 하드마스크 중 어느 하나의 구조를 갖는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.A method of forming a contact plug of a semiconductor device, comprising: a photoresist pattern, a photoresist pattern / sacrificial hardmask, or a sacrificial hardmask. 제 7 항에 있어서,The method of claim 7, wherein 상기 희생 하드마스크는 질화막, 텅스텐막 또는 폴리실리콘막 중 어느 하나를 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.The sacrificial hard mask may include any one of a nitride film, a tungsten film, and a polysilicon film. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 전도막을 노출시키는 단계 후,After exposing the conductive film, 상기 콘택홀 저면을 세정하는 단계와,Cleaning the bottom of the contact hole; 상기 콘택홀이 형성된 전면에 플러그 형성용 전도막을 증착하는 단계와,Depositing a conductive film for plug formation on the entire surface where the contact hole is formed; 평탄화 공정으로 상기 전도막의 일부를 제거하여 아이솔레이션된 플러그를 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.And removing a portion of the conductive film to form an isolated plug by a planarization process. 제 9 항에 있어서,The method of claim 9, 상기 플러그 형성용 전도막은 폴리실리콘막을 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.The plug forming conductive film may include a polysilicon film. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 복수의 도전패턴은, 게이트전극 패턴, 비트라인 또는 금속배선 중 어느 하나를 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.The plurality of conductive patterns may include any one of a gate electrode pattern, a bit line, and a metal wiring.
KR1020040041519A 2004-06-07 2004-06-07 Method for fabrication of semiconductor device KR20050116487A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040041519A KR20050116487A (en) 2004-06-07 2004-06-07 Method for fabrication of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040041519A KR20050116487A (en) 2004-06-07 2004-06-07 Method for fabrication of semiconductor device

Publications (1)

Publication Number Publication Date
KR20050116487A true KR20050116487A (en) 2005-12-13

Family

ID=37290090

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040041519A KR20050116487A (en) 2004-06-07 2004-06-07 Method for fabrication of semiconductor device

Country Status (1)

Country Link
KR (1) KR20050116487A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140113112A (en) * 2013-03-15 2014-09-24 삼성전자주식회사 Method for forming fine patterns of semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140113112A (en) * 2013-03-15 2014-09-24 삼성전자주식회사 Method for forming fine patterns of semiconductor device

Similar Documents

Publication Publication Date Title
US7196004B2 (en) Method and fabricating semiconductor device
KR100652791B1 (en) Method for fabrication of semiconductor device
KR100744672B1 (en) Method for fabricating contact hole in semiconductor device
KR100672780B1 (en) Semiconductor device and method for fabrication thereof
US7384823B2 (en) Method for manufacturing a semiconductor device having a stabilized contact resistance
KR100685677B1 (en) Method for fabrication of semiconductor device
US7199051B2 (en) Method for fabricating semiconductor device capable of preventing damages to conductive structure
KR100505450B1 (en) Method for fabricating semiconductor device using damascene process
KR101043734B1 (en) Method for fabrication of semiconductor device
KR101062833B1 (en) Method of forming contact plug of semiconductor device
KR20050116487A (en) Method for fabrication of semiconductor device
KR100701425B1 (en) Method for fabrication of semiconductor device
KR20060023004A (en) Forming method of contact plug in semiconductor device
KR100716651B1 (en) Method for fabrication of semiconductor device
KR20060036705A (en) Method for fabrication of semiconductor device
KR100670681B1 (en) Method for manufacturing semiconductor device
KR20050116483A (en) Forming method of contact hole in semiconductor device
KR100744001B1 (en) A forming method of landing plug contact
KR100695417B1 (en) Method for fabrication of semiconductor device capable of forming fine pattern
KR20060029007A (en) Method for fabrication of semiconductor device
KR20060010894A (en) Forming method of contact plug in semiconductor device
KR20050116311A (en) Method for fabrication of semiconductor device
KR20060095324A (en) Method for manufacturing semiconductor device
KR20050116493A (en) Method for fabrication of semiconductor device
KR20060072382A (en) Forming method of contact hole in semiconductor device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid