KR20050116493A - Method for fabrication of semiconductor device - Google Patents

Method for fabrication of semiconductor device Download PDF

Info

Publication number
KR20050116493A
KR20050116493A KR1020040041527A KR20040041527A KR20050116493A KR 20050116493 A KR20050116493 A KR 20050116493A KR 1020040041527 A KR1020040041527 A KR 1020040041527A KR 20040041527 A KR20040041527 A KR 20040041527A KR 20050116493 A KR20050116493 A KR 20050116493A
Authority
KR
South Korea
Prior art keywords
hard mask
etching
forming
film
layer
Prior art date
Application number
KR1020040041527A
Other languages
Korean (ko)
Inventor
이해정
조용태
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020040041527A priority Critical patent/KR20050116493A/en
Publication of KR20050116493A publication Critical patent/KR20050116493A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Abstract

본 발명은 실리콘을 포함하는 하드마스크를 사용하여 실리콘을 포함하는 전도막을 노출시키는 오픈부 형성 공정 후 하드마스크 제거시 오픈부에서의 전도막을 손실을 최소화할 수 있는 반도체 소자 제조 방법을 제공하기 위한 것으로, 이를 위해 본 발명은, 기판 상에 실리콘을 포함하는 전도막을 형성하는 단계; 상기 전도막 상에 절연성 피식각층을 형성하는 단계; 상기 피식각층 상에 상기 실리콘을 포함하며, 오픈부 형성 예정 영역을 정의하는 하드마스크를 형성하는 단계; 상기 하드마스크를 식각마스크로 상기 피식각층을 식각하여 상기 전도막의 일부를 노출시키는 오픈부를 형성하는 단계; 및 고밀도 플라즈마 장치에서 HBr 플라즈마를 이용한 전면식각을 실시하여 상기 전도막에 대한 어택을 방지하면서 상기 하드마스크를 제거하는 단계를 포함하는 반도체 소자 제조 방법을 제공한다. The present invention is to provide a method for manufacturing a semiconductor device that can minimize the loss of the conductive film in the open portion when removing the hard mask after the open portion forming process to expose the conductive film containing silicon using a hard mask containing silicon. To this end, the present invention comprises the steps of forming a conductive film comprising silicon on the substrate; Forming an insulating etching layer on the conductive film; Forming a hard mask including the silicon on the etched layer and defining a region to be formed with an open part; Forming an open portion to expose a portion of the conductive layer by etching the etched layer using the hard mask as an etch mask; And removing the hard mask while performing a front-side etching using HBr plasma in a high density plasma apparatus to prevent attack on the conductive layer.

Description

반도체 소자 제조 방법{METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE} Semiconductor device manufacturing method {METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE}

본 발명은 반도체 소자 제조 방법에 관한 것으로 특히, 자기정렬콘택(Self Align Contact; 이하 SAC이라 함) 공정을 이용한 콘택홀 형성시 하부의 어택없이 하드마스크를 제거할 수 있는 반도체 소자 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of manufacturing a semiconductor device capable of removing a hard mask without attacking at the bottom when forming a contact hole using a Self Align Contact (SAC) process. .

일반적으로, 반도체 소자는 그 내부에 다수의 단위 소자들을 포함하여 이루어진다. 반도체 소자가 고집적화되면서 일정한 셀(Cell) 면적 상에 고밀도로 소자들을 형성하여야 하며, 이로 인하여 단위 소자, 예를 들면 트랜지스터와 캐패시터들의 크기는 점차 줄어들고 있다. 특히 DRAM(Dynamic Random Access Memory)과 같은 반도체 메모리 소자에서 디자인 룰(Design rule)이 감소하면서 셀의 내부에 형성되는 반도체 소자들의 크기가 점차 작아지고 있다. 실제로 최근 반도체 DRAM 장치의 최소 선폭은 0.1㎛ 이하로 형성되며, 80nm 이하까지도 요구되고 있다. 따라서, 셀을 이루는 반도체 소자들의 제조 공정에 많은 어려움들이 발생하고 있다.In general, a semiconductor device includes a plurality of unit devices therein. As semiconductor devices become highly integrated, devices must be formed at a high density on a predetermined cell area, thereby decreasing the size of unit devices such as transistors and capacitors. In particular, as the design rules decrease in semiconductor memory devices such as DRAM (Dynamic Random Access Memory), the size of semiconductor devices formed inside the cell is gradually decreasing. In fact, in recent years, the minimum line width of the semiconductor DRAM device is formed to 0.1㎛ or less, even up to 80nm is required. Therefore, many difficulties arise in the manufacturing process of the semiconductor elements forming the cell.

80nm 이하의 선폭을 갖는 반도체 소자에서 193nm의 파장을 갖는 ArF(불화아르곤) 노광을 이용하여 포토리소그라피 공정을 적용할 경우, 기존의 식각 공정 개념(정확한 패턴 형성과 수직한 식각 프로파일 등)에 식각 도중 발생되는 포토레지스트의 변형(Deformation)의 억제라는 추가의 요구 조건이 필요하게 된다. 이에 따라 80nm 이하의 반도체 소자 제조시에는, 식각의 관점에서 기존의 요구조건과 패턴 변형 방지라는 새로운 요구 조건을 동시에 만족하기 위한 공정 조건의 개발이 주요한 과제가 되었다.In the case of applying a photolithography process using ArF (argon fluoride) exposure having a wavelength of 193 nm in a semiconductor device having a line width of 80 nm or less, the etching process is performed in accordance with the conventional etching process concept (exact pattern formation and vertical etching profile, etc.). There is a need for additional requirements of suppression of deformation of the resulting photoresist. Accordingly, when manufacturing a semiconductor device of 80 nm or less, the development of process conditions for simultaneously satisfying the existing requirements and the new requirements of pattern deformation prevention has become a major problem in terms of etching.

한편, 반도체 소자의 고집적화가 가속화됨에 따라 반도체 소자를 이루는 여러 요소들은 적층 구조를 이루게 되었고, 이에 따라 도입된 것이 콘택 플러그(또는 패드) 개념이다.Meanwhile, as the high integration of semiconductor devices is accelerated, various elements of the semiconductor devices have a stacked structure, and thus, a contact plug (or pad) concept has been introduced.

이러한 콘택 플러그를 형성함에 있어서, 하부에서의 최소의 면적으로 접촉 면적을 넓히며 상부에서는 후속 공정에 대한 공정 마진을 넓히기 위해 콘택되는 하부에 비해 그 상부의 면적이 큰 일명, 랜딩 플러그 콘택(Landing plug contact) 기술이 도입되어 통상적으로 사용되고 있다. In forming such a contact plug, a landing plug contact is known as having a larger area at the upper part than the lower part contacted to increase the contact area with a minimum area at the lower part and to increase the process margin for subsequent processes at the upper part. ) Technology has been introduced and commonly used.

또한, 이러한 콘택 형성을 위해서는 고종횡비를 갖는 구조물 사이를 식각해야 하는 어려움이 있으며, 이 때 두 물질 예컨대, 산화막과 질화막간의 식각 선택비를 이용하여 식각 프로파일을 얻는 SAC 공정이 도입되었다.In addition, in order to form such a contact, it is difficult to etch between structures having a high aspect ratio. In this case, an SAC process for obtaining an etching profile using an etching selectivity between two materials, for example, an oxide film and a nitride film, has been introduced.

SAC 공정을 위해서는 CF 및 CHF 계열의 가스를 이용하며, 이 때 하부의 도전패턴에 대한 어택을 방지하기 위해 질화막 등을 이용한 식각정지막과 스페이서 등이 필요하다.For the SAC process, CF and CHF-based gases are used, and an etch stop film and a spacer using a nitride film are required to prevent an attack on the conductive pattern below.

예컨대, 게이트 전극의 경우 그 상부 및 측면에 질화막 계열의 스페이서를 형성하고 있으며, 종횡비가 증가함에 따라 복수의 질화막이 적층된 구조로 스페이서를 이용하며, 이러한 질화막간 또는 질화막과 기판 간의 스트레스 발생으로 인한 크랙(Crack) 등의 문제와 소자의 신뢰성 측면을 고려하여 질화막 사이에 버퍼 산화막을 사용한다. 그 대표적인 예가 질화막/산화막/질화막의 3중 구조의 스페이서이다. 셀 콘택시 어택 방지를 위해 이러한 3중 구조의 상부에 질화막 계열의 식각정지막을 추가로 형성하여 사용한다.For example, in the case of a gate electrode, spacers of nitride layers are formed on upper and side surfaces thereof, and spacers are used in a structure in which a plurality of nitride layers are stacked as the aspect ratio increases, and due to stress generation between the nitride layers or between the nitride layer and the substrate. A buffer oxide film is used between nitride films in consideration of cracks and the like and reliability of the device. A representative example thereof is a spacer having a triple structure of a nitride film / oxide film / nitride film. In order to prevent cell contact attack, an etch stop layer based on a nitride film is further formed on the triple structure.

이하, 전술한 SAC 식각 공정을 이용한 스토리지노드용 콘택홀 공정을 살펴 보는 바, 도 1a 내지 도 1d는 종래기술에 따른 스토리지노드용 콘택홀 형성 공정을 도시한 단면도이다.Hereinafter, a storage node contact hole process using the aforementioned SAC etching process will be described. FIGS. 1A to 1D are cross-sectional views illustrating a storage node contact hole forming process according to the prior art.

먼저, 도 1a에 도시된 바와 같이, 웰 및 트랜지스터와 같은 반도체 소자를 이루기 위한 여러 요소가 형성된 반도체 기판(100) 상에 제1층간절연막(101)을 형성한다.First, as shown in FIG. 1A, a first interlayer insulating film 101 is formed on a semiconductor substrate 100 on which various elements for forming semiconductor devices such as wells and transistors are formed.

제1층간절연막(101)을 산화막 계열의 물질막으로 이용할 경우에는 BSG(Boro-Silicate-Glass)막, BPSG(Boro-Phopho-Silicate-Glass)막, PSG(Phospho-Silicate-Glass)막, TEOS(Tetra-Ethyl-Ortho-Silicate)막, HDP(High Density Plasma) 산화막, SOG(Spin On Glass)막 또는 APL(Advanced Planarization Layer)막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계열의 저유전율막을 이용할 수 있다.When the first interlayer insulating film 101 is used as an oxide-based material film, a BSG (Boro-Silicate-Glass) film, BPSG (Boro-Phopho-Silicate-Glass) film, PSG (Phospho-Silicate-Glass) film, TEOS (Tetra-Ethyl-Ortho-Silicate) film, HDP (High Density Plasma) film, SOG (Spin On Glass) film, or APL (Advanced Planarization Layer) film, etc. It is available.

참고로, 여기서 게이트전극 패턴은 생략되었다.For reference, the gate electrode pattern is omitted here.

이어서, 제1층간절연막(101)을 선택적으로 식각하여 기판(100)의 불순물 확산영역(도시하지 않음)을 노출시키는 콘택홀을 형성한다. 이 때, SAC 식각 공정을 적용한다.Subsequently, the first interlayer insulating layer 101 is selectively etched to form a contact hole exposing an impurity diffusion region (not shown) of the substrate 100. At this time, the SAC etching process is applied.

이어서, 콘택홀을 매립하도록 폴리실리콘 등의 전도막을 증착한 다음, 게이트 하드마스크가 노출되는 타겟으로 평탄화 공정을 실시하여 아이솔레이션된 복수의 셀콘택 플러그(102)를 형성한다.Subsequently, a conductive film such as polysilicon is deposited to fill the contact hole, and then a planarization process is performed on the target to which the gate hard mask is exposed to form a plurality of isolated cell contact plugs 102.

이어서, 셀콘택 플러그(102)가 형성된 전면에 제2층간절연막(103)을 형성한다. 제2층간절연막(103)은 제1층간절연막(102)과 실질적으로 동일한 산화막 계열의 물질막 또는 저유전율막을 사용한다.Subsequently, a second interlayer insulating film 103 is formed on the entire surface where the cell contact plug 102 is formed. The second interlayer insulating film 103 uses an oxide film-based material film or a low dielectric constant film that is substantially the same as the first interlayer insulating film 102.

이어서, 도면에 도시되지는 않았지만, 제2층간절연막(103)을 선택적으로 식각하여 셀 콘택 플러그(102) 중 일부를 노출시킴으로써 비트라인 형성 영역을 정의한 다음, 셀콘택 플러그(102) 형성 공정과 유사한 공정으로 비트라인 콘택 플러그(도시하지 않음)를 형성한다. 이어서, 비트라인 콘택 플러그에 전기적으로 접속된 비트라인(B/L)을 형성한다.Subsequently, although not shown in the drawing, the second interlayer insulating film 103 is selectively etched to expose a portion of the cell contact plug 102 to define a bit line formation region, and then similar to the process of forming the cell contact plug 102. The process forms a bitline contact plug (not shown). Subsequently, a bit line B / L electrically connected to the bit line contact plug is formed.

비트라인은 비트라인 하드마스크(105)/비트라인 전도막(104)이 적층된 구조를 갖는다. 비트라인 전도막(104)은 통상 폴리실리콘, W, WN, WSix의 단독 또는 이들의 조합된 형태를 이용한다.The bit line has a structure in which the bit line hard mask 105 / bit line conductive film 104 is stacked. The bit line conductive film 104 typically uses polysilicon, W, WN, WSi x alone or in combination thereof.

비트라인 하드마스크(105)는 후속 스토리지노드용 콘택홀 형성을 위한 식각 공정 중 층간절연막을 식각하여 콘택홀을 형성하는 과정에서 비트라인 전도막(104)을 보호하기 위한 것으로서, 층간절연막과 식각 속도가 현저하게 차이나는 물질을 사용한다. 예컨대, 층간절연막으로 산화막 계열을 사용할 경우에는 실리콘 질화막(SiN) 또는 실리콘 산화질화막(SiON) 등의 질화막 계열의 물질을 사용하고, 층간절연막으로 폴리머계 저유전율막을 사용할 경우에는 산화막 계열의 물질을 사용한다.The bit line hard mask 105 is to protect the bit line conductive layer 104 in the process of forming the contact hole by etching the interlayer insulating layer during the etching process for forming the contact hole for the subsequent storage node, the interlayer insulating layer and the etching rate Use materials that differ significantly. For example, when an oxide-based layer is used as the interlayer insulating film, a nitride-based material such as silicon nitride film (SiN) or a silicon oxynitride film (SiON) is used, and when a polymer-based low dielectric film is used as the interlayer insulating film, an oxide-based material is used. do.

이어서, 비트라인(B/L)이 형성된 프로파일을 따라 후속 SAC 방식을 이용한 식각 공정에서 비트라인(B/L) 등 하부 구조의 어택을 방지하기 위해 식각 멈춤 역할을 하는 식각정지막을 형성하는 바, 여기서는 도면의 간략화를 위해 생략한다.Subsequently, an etch stop layer is formed along the profile in which the bit lines B / L are formed to serve as an etch stop to prevent attack of an underlying structure such as the bit lines B / L in an etching process using a subsequent SAC method. It is omitted here for the sake of simplicity of the drawings.

이 때, 하부의 프로파일을 따라 식각정지막이 형성되도록 하는 것이 바람직하며, 식각정지막으로는 질화막 계열의 물질막을 이용한다. In this case, the etch stop film is preferably formed along the lower profile, and a nitride film-based material film is used as the etch stop film.

다음으로, 비트라인(B/L)이 형성된 전체 구조 상부에 산화막 계열의 제3층간절연막(106)을 형성한다. 제3층간절연막(106) 또한 제1 및 제2층간절연막(101, 103)과 유사한 물질로 사용한다.Next, an oxide-based third interlayer insulating film 106 is formed on the entire structure where the bit lines B / L are formed. The third interlayer insulating film 106 is also used as a material similar to the first and second interlayer insulating films 101 and 103.

이어서, 제3층간절연막(106) 상에 하드마스크용 폴리실리콘막(107a)을 형성한다. 여기서, 하드마스크용 폴리실리콘막(107a)은 하부의 셀콘택 플러그(102)와 실질적으로 동일한 물질막으로, 폴리실리콘막을 주로 사용한다.Subsequently, a hard mask polysilicon film 107a is formed on the third interlayer insulating film 106. Here, the polysilicon film 107a for the hard mask is a material film substantially the same as the cell contact plug 102 below, and a polysilicon film is mainly used.

이어서, 하드마스크용 폴리실리콘막(107a) 상에 스토리지노드용 콘택홀 형성을 위한 포토레지스트 패턴(108)을 형성한다. 포토레지스트 패턴(108)과 하드마스크용 폴리실리콘막(107a) 사이에 난반사 방지와 접착력 증대의 목적으로 통상 반사방지막을 사용하나 여기서는 설명의 간략화를 위해 생략하였다.Subsequently, a photoresist pattern 108 for forming a contact hole for a storage node is formed on the polymask 107a for the hard mask. An anti-reflection film is generally used between the photoresist pattern 108 and the polysilicon film 107a for hard masks for the purpose of preventing diffuse reflection and increasing adhesion, but the description is omitted here for the sake of simplicity.

계속해서, 도 1b에 도시된 바와 같이, 포토레지스트 패턴(108)을 식각마스크로 하드마스크용 폴리실리콘막(107a)을 식각하여 스토리지노드용 콘택홀 형성 예정 영역을 정의하는 하드마스크(107b)를 형성한다,Subsequently, as illustrated in FIG. 1B, the hard mask 107b defining the storage node contact hole forming region to be formed by etching the hardmask polysilicon layer 107a using the photoresist pattern 108 as an etch mask. Form,

이어서, 애싱(Ashing) 또는 포토레지스트 스트립 공정을 실시하여 포토레지스트 패턴(108)을 제거한다.Subsequently, an ashing or photoresist strip process is performed to remove the photoresist pattern 108.

이어서, 도 1c에 도시된 바와 같이, 하드마스크(107b)를 식각마스크로 제3층간절연막(106)과 제2층간절연막(103)을 식각하여 비트라인(B/L)의 측면에 얼라인되면서 스토리지노드 콘택이 이루어질 셀콘택 플러그(102)를 노출시키는 오픈부(109) 즉, 스토리지노드용 콘택홀을 형성한다.Subsequently, as shown in FIG. 1C, the third interlayer insulating film 106 and the second interlayer insulating film 103 are etched using the hard mask 107b as an etch mask, and are aligned with the side surfaces of the bit lines B / L. An open part 109 exposing the cell contact plug 102 to which the storage node contact is to be made, that is, a contact hole for the storage node is formed.

전술한 오픈부(109) 형성 공정은 대체적으로, 제3 및 제2층간절연막(106, 103)과 비트라인 하드마스크(105)의 식각선택비를 이용한 SAC 식각 공정으로, 하드마스크(107b)를 식각마스크로 제3 및 제2층간절연막(106, 103)을 식각하여 식각정지막(도시하지 않음)에서 식각 멈춤을 하는 SAC 식각 공정과, 식각정지막과 스페이서 등을 제거하여 셀콘택 플러그(102)를 노출시키는 오픈부(109) 오픈 공정 및 오픈부(109)의 개구부를 확장하며 식각 잔류물을 제거하기 위한 세정 공정 등으로 나뉜다. The process of forming the open portion 109 is generally a SAC etching process using an etching selectivity of the third and second interlayer insulating films 106 and 103 and the bit line hard mask 105. The SAC etching process of etching the third and second interlayer insulating films 106 and 103 with an etching mask to stop the etching from the etching stop film (not shown), and removing the etching stop film and the spacer, thereby removing the cell contact plug 102. ) Is divided into an open process of exposing the open portion 109 and a cleaning process to remove the etching residue by expanding the opening of the open portion 109.

이러한 식각 공정에서는 주로 CF4 등의 CxFy(x,y는 1 ∼ 10) 가스와 CH2F2 등의 CaHbFc(a,b,c는 1 ∼ 10) 가스를 혼합하여 사용한다.In such an etching process, CxFy (x, y is 1 to 10) gas, such as CF 4 , and CaHbFc (a, b, c is 1 to 10) gas, such as CH 2 F 2 , are mixed and used.

이어서, 도 1d에 도시된 바와 같이, 전면식각을 통해 하드마스크(107b)를 제거한다. 한편, 셀콘택 플러그(102)는 하드마스크(107b)와 동일한 실리콘을 포함하는 막 예컨대, 폴리실리콘막을 사용하고 있으므로, 하드마스크(107b) 제거시 샐콘택 플러그(102)의 소모가 과다하게 발생하여 도면부호 '110'과 같이 셀콘택 플러그(102)가 모두 제거되고 기판(100)까지 어택이 발생함을 알 수 있다.Subsequently, as shown in FIG. 1D, the hard mask 107b is removed through front etching. On the other hand, since the cell contact plug 102 uses a film made of the same silicon as the hard mask 107b, for example, a polysilicon film, excessive consumption of the sal contact plug 102 occurs when the hard mask 107b is removed. As shown by reference numeral 110, all of the cell contact plugs 102 may be removed and attack may occur to the substrate 100.

한편, 이 단계에서 하드마스크(107b)를 제거하지 않으면, 임계치수(Critical Dimension; 이하 CD라 함) 관측을 위한 SEM(Scanning Electron Microscopy) 촬영시 전자의 차지-업(Electron charge-up)이 되지 않아 정확한 FICD(Final Inspection Critical Dimension) 측정이 되지 않으며, 후속 플러그형성시 플러그의 소모가 증가하므로 실리콘을 포함하는 물질을 사용하는 하드마스크(107b)는 제거하는 것이 바람직하다. On the other hand, if the hard mask 107b is not removed at this stage, electron charge-up does not occur during SEM (Scanning Electron Microscopy) imaging for critical dimension (hereinafter, referred to as CD) observation. As a result, accurate FICD (Final Inspection Critical Dimension) measurement is not performed, and since plug consumption increases during subsequent plug formation, it is desirable to remove the hard mask 107b using a material containing silicon.

전술한 종래의 스토리지노드용 콘택홀 형성 공정시 사용한 폴리실리콘 등의 실리콘을 포함하는 하드마스크를 제거하기 위한 전면식각 공정에서는 주로 폴리실리콘을 사용하는 플러그의 소모를 방지할 수 없어 실리콘 기판까지 손상됨으로써 소자의 특성과 수율이 저하되는 문제점이 발생한다. In the surface etching process for removing a hard mask containing silicon, such as polysilicon used in the above-described conventional storage node contact hole forming process, it is not possible to prevent the consumption of the plug mainly using polysilicon and thus damage the silicon substrate. There is a problem that the characteristics and yield of the device is reduced.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 실리콘을 포함하는 하드마스크를 사용하여 실리콘을 포함하는 전도막을 노출시키는 오픈부 형성 공정 후 하드마스크 제거시 오픈부에서의 전도막을 손실을 최소화할 수 있는 반도체 소자 제조 방법을 제공하는 것을 그 목적으로 한다. The present invention has been proposed to solve the above problems of the prior art, the loss of the conductive film in the open portion during the removal of the hard mask after the open portion forming process to expose the conductive film containing silicon using a hard mask containing silicon. An object of the present invention is to provide a method for manufacturing a semiconductor device that can minimize the.

상기의 목적을 달성하기 위해 본 발명은, 기판 상에 실리콘을 포함하는 전도막을 형성하는 단계; 상기 전도막 상에 절연성 피식각층을 형성하는 단계; 상기 피식각층 상에 상기 실리콘을 포함하며, 오픈부 형성 예정 영역을 정의하는 하드마스크를 형성하는 단계; 상기 하드마스크를 식각마스크로 상기 피식각층을 식각하여 상기 전도막의 일부를 노출시키는 오픈부를 형성하는 단계; 및 고밀도 플라즈마 장치에서 HBr 플라즈마를 이용한 전면식각을 실시하여 상기 전도막에 대한 어택을 방지하면서 상기 하드마스크를 제거하는 단계를 포함하는 반도체 소자 제조 방법을 제공한다. In order to achieve the above object, the present invention comprises the steps of forming a conductive film containing silicon on the substrate; Forming an insulating etching layer on the conductive film; Forming a hard mask including the silicon on the etched layer and defining a region to be formed with an open part; Forming an open portion to expose a portion of the conductive layer by etching the etched layer using the hard mask as an etch mask; And removing the hard mask while performing a front-side etching using HBr plasma in a high density plasma apparatus to prevent attack on the conductive layer.

본 발명은 실리콘을 포함하는 하드마스크를 사용하여 실리콘을 포함하는 전도막을 노출시키는 오픈부 형성 공정 후 하드마스크 제거시 오픈부 저면 전도막의 손실을 최소화하기 위해 고온 및 고압력의 공정 조건에서 HBr 플라즈마를 이용하여 ARDE(Aspect Ratio Dependent Etching) 현상을 유도함으로써, 하드마스크는 잘 제거되지만 오픈부 하부의 전도막의 소모는 최소화한다. The present invention uses an HBr plasma under high temperature and high pressure process conditions to minimize the loss of the bottom conductive film during the hard mask removal after the open mask forming process exposing the conductive film containing silicon using a hard mask containing silicon. By inducing an aspect ratio dependent etching (ARDE) phenomenon, the hard mask is removed well, but the consumption of the conductive film under the open portion is minimized.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. do.

도 2a 내지 도 2d는 본 발명의 일실시예에 따른 스토리지노드용 콘택홀 형성 공정을 도시한 단면도로서, 이를 참조하여 본 발명의 일실시예에 따른 스토리지노드용 콘택홀 형성 공정을 살펴본다.2A to 2D are cross-sectional views illustrating a process of forming a contact hole for a storage node according to an embodiment of the present invention, and with reference to this, a process of forming a contact hole for a storage node according to an embodiment of the present invention will be described.

한편, 후술하는 본 발명의 오픈부 형성 공정에서는 스토리지노드용 콘택홀 형성 공정을 그 예로 하고 있으나, 이외에 셀콘택 플러그 형성을 위한 콘택홀 형성 공정과 비트라인 콘택을 위한 콘택홀 형성 공정 등 다양한 형태의 콘택홀 형성 공정에 응용이 가능하며, 콘택홀 형성을 위한 패턴의 형태로 T-타입, I-타입, 홀-타입 등 다양한 형태에도 응용이 가능하다.Meanwhile, in the process of forming the open part of the present invention, which is described below, the storage node contact hole forming process is used as an example, but in addition, the contact hole forming process for forming the cell contact plug and the contact hole forming process for the bit line contact may be performed. It can be applied to the contact hole forming process, and can be applied to various forms such as T-type, I-type, and hole-type in the form of patterns for forming contact holes.

먼저, 도 2a에 도시된 바와 같이, 웰 및 트랜지스터와 같은 반도체 소자를 이루기 위한 여러 요소가 형성된 반도체 기판(200) 상에 제1층간절연막(201)을 형성한다. First, as shown in FIG. 2A, a first interlayer insulating film 201 is formed on a semiconductor substrate 200 on which various elements for forming semiconductor devices such as wells and transistors are formed.

제1층간절연막(201)을 산화막 계열의 물질막으로 이용할 경우에는 BSG막, BPSG막, PSG막, TEOS막, HDP 산화막, SOG막 또는 APL막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계열의 저유전율막을 이용할 수 있다.When the first interlayer insulating film 201 is used as an oxide-based material film, a BSG film, a BPSG film, a PSG film, a TEOS film, an HDP oxide film, an SOG film, or an APL film is used. A low dielectric constant film can be used.

참고로, 여기서 게이트전극 패턴은 생략되었다.For reference, the gate electrode pattern is omitted here.

이어서, 제1층간절연막(201)을 선택적으로 식각하여 기판(200)의 불순물 확산영역(도시하지 않음)을 노출시키는 콘택홀을 형성한다. 이 때, SAC 식각 공정을 적용한다.Subsequently, the first interlayer insulating film 201 is selectively etched to form a contact hole exposing an impurity diffusion region (not shown) of the substrate 200. At this time, the SAC etching process is applied.

이어서, 콘택홀을 매립하도록 폴리실리콘 등의 전도막을 증착한 다음, 게이트 하드마스크가 노출되는 타겟으로 평탄화 공정을 실시하여 아이솔레이션된 복수의 셀콘택 플러그(202)를 형성한다.Subsequently, a conductive film such as polysilicon is deposited to fill the contact hole, and then a planarization process is performed on the target to which the gate hard mask is exposed to form a plurality of isolated cell contact plugs 202.

여기서는 셀콘택 플러그(202) 물질로 폴리실리콘을 사용하였지만, 이외에도 비정절실리콘, 선택적 에피택셜 성장(Selective Epitaxial Growth; 이하 SEG라 함) 실리콘막 등 실리콘을 포함하는 모든 전도막에 응용이 가능하다.Although polysilicon is used as the cell contact plug 202 material, the present invention may be applied to any conductive film including silicon such as amorphous silicon, selective epitaxial growth (SEG) silicon film, and the like.

이어서, 셀콘택 플러그(202)가 형성된 전면에 제2층간절연막(203)을 형성한다. 제2층간절연막(203)은 제1층간절연막(202)과 실질적으로 동일한 산화막 계열의 물질막 또는 저유전율막을 사용한다.Subsequently, a second interlayer insulating film 203 is formed on the entire surface where the cell contact plug 202 is formed. The second interlayer insulating film 203 uses an oxide film-based material film or a low dielectric constant film that is substantially the same as the first interlayer insulating film 202.

이어서, 도면에 도시되지는 않았지만, 제2층간절연막(203)을 선택적으로 식각하여 셀 콘택 플러그(202) 중 일부를 노출시킴으로써 비트라인 형성 영역을 정의한 다음, 셀콘택 플러그(202) 형성 공정과 유사한 공정으로 비트라인 콘택 플러그(도시하지 않음)를 형성한다. 이어서, 비트라인 콘택 플러그에 전기적으로 접속된 비트라인(B/L)을 형성한다.Subsequently, although not shown in the drawing, the second interlayer insulating film 203 is selectively etched to expose a portion of the cell contact plug 202 to define a bit line formation region, and then similar to the process of forming the cell contact plug 202. The process forms a bitline contact plug (not shown). Subsequently, a bit line B / L electrically connected to the bit line contact plug is formed.

비트라인은 비트라인 하드마스크(205)/비트라인 전도막(204)이 적층된 구조를 갖는다. 비트라인 전도막(204)은 통상 폴리실리콘, W, WN, WSix의 단독 또는 이들의 조합된 형태를 이용한다.The bit line has a structure in which the bit line hard mask 205 / bit line conductive film 204 is stacked. The bit line conductive film 204 typically uses polysilicon, W, WN, WSi x alone or in combination thereof.

비트라인 하드마스크(205)는 후속 스토리지노드용 콘택홀 형성을 위한 식각 공정 중 층간절연막을 식각하여 콘택홀을 형성하는 과정에서 비트라인 전도막(204)을 보호하기 위한 것으로서, 층간절연막과 식각 속도가 현저하게 차이나는 물질을 사용한다. 예컨대, 층간절연막으로 산화막 계열을 사용할 경우에는 실리콘 질화막(SiN) 또는 실리콘 산화질화막(SiON) 등의 질화막 계열의 물질을 사용하고, 층간절연막으로 폴리머계 저유전율막을 사용할 경우에는 산화막 계열의 물질을 사용한다.The bit line hard mask 205 is to protect the bit line conductive layer 204 in the process of forming the contact hole by etching the interlayer insulating layer during the etching process for forming the contact hole for the subsequent storage node, the interlayer insulating layer and the etching rate Use materials that differ significantly. For example, when an oxide-based layer is used as the interlayer insulating film, a nitride-based material such as silicon nitride film (SiN) or a silicon oxynitride film (SiON) is used, and when a polymer-based low dielectric film is used as the interlayer insulating film, an oxide-based material is used. do.

이어서, 비트라인(B/L)이 형성된 프로파일을 따라 후속 SAC 방식을 이용한 식각 공정에서 비트라인(B/L) 등 하부 구조의 어택을 방지하기 위해 식각 멈춤 역할을 하는 식각정지막을 형성하는 바, 여기서는 도면의 간략화를 위해 생략한다.Subsequently, an etch stop layer is formed along the profile in which the bit lines B / L are formed to serve as an etch stop to prevent attack of an underlying structure such as the bit lines B / L in an etching process using a subsequent SAC method. It is omitted here for the sake of simplicity of the drawings.

이 때, 하부의 프로파일을 따라 식각정지막이 형성되도록 하는 것이 바람직하며, 식각정지막으로는 질화막 계열의 물질막을 이용한다.In this case, the etch stop film is preferably formed along the lower profile, and a nitride film-based material film is used as the etch stop film.

다음으로, 비트라인(B/L)이 형성된 전체 구조 상부에 산화막 계열의 제3층간절연막(206)을 형성한다. 제3층간절연막(206) 또한 제1 및 제2층간절연막(101, 103)과 유사한 물질로 사용한다. Next, an oxide film-based third interlayer insulating film 206 is formed over the entire structure where the bit lines B / L are formed. The third interlayer insulating film 206 is also used as a material similar to the first and second interlayer insulating films 101 and 103.

이어서, 제3층간절연막(206) 상에 하드마스크용 폴리실리콘막(207a)을 형성한다. 여기서, 하드마스크용 폴리실리콘막(207a)은 하부의 셀콘택 플러그(202)와 실질적으로 동일한 물질막으로, 도핑된 폴리실리콘막, 도핑되지 않은 폴리실리콘막, 비정질 실리콘막, SEG에 의한 실리콘막 등을 모두 포함한다.Subsequently, a hard mask polysilicon film 207a is formed on the third interlayer insulating film 206. Here, the polysilicon film 207a for the hard mask is a material film substantially the same as the cell contact plug 202 below, and a doped polysilicon film, an undoped polysilicon film, an amorphous silicon film, and a silicon film by SEG. It includes all of them.

이어서, 하드마스크용 폴리실리콘막(207a) 상에 스토리지노드용 콘택홀 형성을 위한 포토레지스트 패턴(208)을 형성한다. 포토레지스트 패턴(208)과 하드마스크용 폴리실리콘막(207a) 사이에 난반사 방지와 접착력 증대의 목적으로 통상 반사방지막을 사용하나 여기서는 설명의 간략화를 위해 생략하였다.Subsequently, a photoresist pattern 208 for forming a storage node contact hole is formed on the hard mask polysilicon layer 207a. An anti-reflection film is generally used between the photoresist pattern 208 and the hard mask polysilicon film 207a for the purpose of preventing diffuse reflection and increasing adhesion, but the description is omitted here for the sake of simplicity.

계속해서, 도 2b에 도시된 바와 같이, 포토레지스트 패턴(208)을 식각마스크로 하드마스크용 폴리실리콘막(207a)을 식각하여 스토리지노드용 콘택홀 형성 예정 영역을 정의하는 하드마스크(207b)를 형성한다,Subsequently, as shown in FIG. 2B, the hard mask 207b defining the storage node contact hole formation region to be formed by etching the polysilicon layer 207a for the hard mask using the photoresist pattern 208 as an etch mask. Form,

이어서, 애싱 또는 포토레지스트 스트립 공정을 실시하여 포토레지스트 패턴(208)을 제거한다.Subsequently, an ashing or photoresist strip process is performed to remove the photoresist pattern 208.

이어서, 도 2c에 도시된 바와 같이, 하드마스크(207b)를 식각마스크로 제3층간절연막(206)과 제2층간절연막(203)을 식각하여 비트라인(B/L)의 측면에 얼라인되면서 스토리지노드 콘택이 이루어질 셀콘택 플러그(202)를 노출시키는 오픈부(209) 즉, 스토리지노드용 콘택홀을 형성한다.Subsequently, as shown in FIG. 2C, the third interlayer insulating layer 206 and the second interlayer insulating layer 203 are etched using the hard mask 207b as an etch mask, and are aligned with the side surfaces of the bit line B / L. An open part 209 exposing the cell contact plug 202 to which the storage node contact is to be made, that is, a contact hole for the storage node is formed.

전술한 오픈부(209) 형성 공정은 대체적으로, 제3 및 제2층간절연막(206, 203)과 비트라인 하드마스크(205)의 식각선택비를 이용한 SAC 식각 공정으로, 하드마스크(207b)를 식각마스크로 제3 및 제2층간절연막(206, 203)을 식각하여 식각정지막(도시하지 않음)에서 식각 멈춤을 하는 SAC 식각 공정과, 식각정지막과 스페이서 등을 제거하여 셀콘택 플러그(202)를 노출시키는 오픈부(209) 오픈 공정 및 오픈부(209)의 개구부를 확장하며 식각 잔류물을 제거하기 위한 세정 공정 등으로 나뉜다. The process of forming the open portion 209 described above is generally a SAC etching process using an etching selectivity of the third and second interlayer insulating films 206 and 203 and the bit line hard mask 205. The SAC etching process of etching the third and second interlayer insulating layers 206 and 203 with an etching mask to stop the etching from the etching stop layer (not shown), and removing the etching stop layer and the spacer, thereby removing the cell contact plug 202. ) Is divided into an open process 209 exposing the open portion and an opening process of the open portion 209 and a washing process to remove the etching residue.

이러한 식각 공정에서는 주로 CF4 등의 CxFy(x,y는 1 ∼ 10) 가스와 CH2F2 등의 CaHbFc(a,b,c는 1 ∼ 10) 가스를 혼합하여 사용한다.In such an etching process, CxFy (x, y is 1 to 10) gas, such as CF 4 , and CaHbFc (a, b, c is 1 to 10) gas, such as CH 2 F 2 , are mixed and used.

이어서, 도 2d에 도시된 바와 같이, 고밀도 플라즈마 장치에서 HBr 플라즈마를 이용한 건식에 의한 전면식각을 실시하여 셀콘택 플러그(202)의 어택을 방지하면서 하드마스크(207b)를 제거한다. Subsequently, as shown in FIG. 2D, the hard mask 207b is removed while performing a dry front surface etching using HBr plasma in the high density plasma apparatus to prevent attack of the cell contact plug 202.

이 때, TCP(Transfomer Coupled Plasma), ICP(Inductive Coupled Plasma), ECR(Electron Cyclotron Resonance), 또는 DPS(Decoupled Plasma Source) 타입 등의 고밀도 장치에서 HBr 플라즈마를 사용하여 챔버를 고온, 고압력으로 유지한 상태에서 하드마스크(207b) 제거를 위해 전면식각을 실시하며, 하부의 셀콘택 플러그(202)에 대한 어택이 도시된 'A'와 같이 아주 미미하게 발생함을 알 수 있다.At this time, HBr plasma is used in high density devices such as TCP (Transfomer Coupled Plasma), ICP (Inductive Coupled Plasma), ECR (Electron Cyclotron Resonance), or DPS (Decoupled Plasma Source) type to maintain the chamber at high temperature and pressure. In the state, the entire surface is etched to remove the hard mask 207b, and it can be seen that the attack on the cell contact plug 202 at the lower side occurs very little as shown in 'A'.

여기서, 하드마스크(207b)를 식각시 챔버의 기판(200) 온도를 50℃ 이상으로 유지하며 압력을 100mTorr 이상으로 유지한 상태에서 바이어스 파워(Bias power)를 30W 이하로 인가하게 되면, 종횡비가 큰 오픈부(209) 저면은 셀콘택 플러그(202)에 대한 식각 중지가 발생하는데 즉, ARDE 원리로 오픈부(209) 상부의 하드마스크(207b)는 완전히 제거하면서 오픈부(209) 하부의 셀콘택 플러그(202)의 소모는 최소화할 수 있다.Here, when the hard mask 207b is etched and the bias power is applied at 30 W or less while maintaining the temperature of the substrate 200 in the chamber at 50 ° C. or more and the pressure at 100 mTorr or more, the aspect ratio is large. The bottom of the open portion 209 causes the etch stop of the cell contact plug 202, that is, the cell contact under the open portion 209 while completely removing the hard mask 207b on the top of the open portion 209 on the ARDE principle. The consumption of the plug 202 can be minimized.

전술한 바와 같이 이 단계에서 하드마스크(207b)를 제거하지 않으면, CD 관측을 위한 SEM 촬영시 전자의 차지-업(Electron charge-up)이 되지 않아 정확한 FICD 측정이 되지 않으며, 후속 플러그형성시 플러그의 소모가 증가하므로 실리콘을 포함하는 물질을 사용하는 하드마스크(207b)는 제거하는 것이 바람직하다.As described above, if the hard mask 207b is not removed at this stage, electron charge-up is not performed during SEM imaging for CD observation, so accurate FICD measurement is not performed. Since the consumption of is increased, it is desirable to remove the hard mask 207b using a material containing silicon.

전술한 하드마스크(207b) 제거를 위한 전면식각 공정에서의 레시피를 보다 구체적으로 살펴 본다.The recipe in the front etching process for removing the aforementioned hard mask 207b will be described in more detail.

TCP, ICP, DPS 또는 ECR 타입 등의 고밀도 플라즈마 장비를 이용하며, 식각 공정시 기판의 온도를 50℃ ∼ 100℃로 유지하고, 챔버의 압력을 100mTorr ∼ 1000mTorr로 유지하며, 소스 파워는 100W ∼ 500W, 바이어스 파워는 0W ∼ 30W를 각각 사용한다. HBr은 100SCCM ∼ 300SCCM 사용한다.High density plasma equipment such as TCP, ICP, DPS or ECR type is used, and the substrate temperature is maintained at 50 ° C to 100 ° C during the etching process, the chamber pressure is maintained at 100mTorr to 1000mTorr, and the source power is 100W to 500W. The bias power is 0W to 30W, respectively. HBr is used from 100SCCM to 300SCCM.

이 때, 식각의 재현성을 높이기 위해 0SCCM ∼ 5SCCM의 산소(O2)를 더 첨가할 수도 있다.At this time, in order to increase the reproducibility of etching, oxygen (O 2 ) of 0 SCCM to 5 SCCM may be further added.

이어서, 콘택홀 저면의 CD를 확보하고 SAC 및 전면 식각 등의 공정 후 잔류하는 식각 부산물을 제거하기 위해 BOE 등의 세정액을 이용하여 습식 세정을 실시한다. 세정 시에는 BOE 또는 불산을 이용하는 바, 불산의 경우 물과 불산의 비가 50:1 ∼ 500:1인 묽은 물산을 이용하는 것이 바람직하다.Subsequently, wet cleaning is performed using a cleaning solution such as BOE to secure the CD on the bottom of the contact hole and to remove the etching by-products remaining after the SAC and the front surface etching. When washing, BOE or hydrofluoric acid is used. In the case of hydrofluoric acid, it is preferable to use dilute hydrochloric acid having a ratio of 50: 1 to 500: 1.

계속해서, 도면에 도시되지는 않았지만, 오픈부(209)가 형성된 기판(200) 전면에 스토리지노드 콘택 플러그 형성용 전도막을 증착하여 오픈부(209)을 충분히 매립시킨다.Subsequently, although not shown in the drawing, the conductive layer for forming the storage node contact plug is deposited on the entire surface of the substrate 200 on which the open portion 209 is formed to sufficiently fill the open portion 209.

여기서, 플러그 형성용 전도막 물질로 가장 많이 사용되는 물질은 폴리실리콘이며, Ti, TiN 등의 배리어메탈층과 적층하여 형성하기도 하며, 폴리실리콘 대신 텅스텐 등의 금속을 사용할 수도 있다.Here, the most commonly used material for forming a conductive film for plug formation is polysilicon, and may be formed by laminating with barrier metal layers such as Ti and TiN, and metal such as tungsten may be used instead of polysilicon.

이어서, CMP 또는 전면식각 공정을 실시하여 오픈부(209)를 통해 셀콘택 플러그(202)와 전기적으로 도통되고 그 상부가 평탄화되며 아이솔레이션이 이루어진 스토리지노드 콘택 플러그를 형성한다. Subsequently, a CMP or an entire surface etching process may be performed to form a storage node contact plug electrically connected to the cell contact plug 202 through the open portion 209 and planarized thereon, and isolated.

한편, 전술한 실시예에서는 스토리지노드 콘택 플러그 형성 공정을 그 예로 하였으나, 셀콘택 플러그나, 비트라인 콘택 플러그 형성 공정에 이를 적용할 수 있다.Meanwhile, in the above-described embodiment, the storage node contact plug forming process is taken as an example, but it may be applied to a cell contact plug or a bit line contact plug forming process.

따라서, 셀콘택 플러그 형성 공정일 경우 하부의 셀콘택 플러그은 기판의 불순물 확산영역으로 대체될 것이고, 비트라인은 게이트 전극 패턴으로 대체될 것이다. Therefore, in the cell contact plug forming process, the lower cell contact plug will be replaced with an impurity diffusion region of the substrate, and the bit line will be replaced with a gate electrode pattern.

전술한 바와 같이 이루어지는 본 발명은, 실리콘을 포함하는 하드마스크를 사용하여 실리콘을 포함하는 전도막을 노출시키는 오픈부 형성 공정 후 하드마스크 제거시 오픈부 저면 전도막 손실을 최소화하기 위해 고온 및 고압력의 공정 조건에서 HBr 플라즈마를 이용하여 ARDE 현상을 유도함으로써, 하드마스크는 잘 제거되지만 오픈부 하부의 전도막의 소모는 최소화할 수 있음을 실시예를 통해 알아 보았다. According to the present invention made as described above, a process of high temperature and high pressure in order to minimize the loss of the bottom conductive film at the time of removing the hard mask after the open portion forming process to expose the conductive film containing silicon using a hard mask containing silicon. By inducing the ARDE phenomenon using the HBr plasma under the conditions, it was found through the embodiment that the hard mask is well removed but the consumption of the conductive film under the open part can be minimized.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다. Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 바와 같은 본 발명은, 하드마스크 제거시 오픈부 저면의 어택을 최소화함으로써, 반도체 소자의 불량을 방지하여 개발기간을 단축시킬 수 있는 효과가 있다.The present invention as described above, by minimizing the attack of the bottom surface of the open portion when removing the hard mask, there is an effect that can shorten the development period by preventing the failure of the semiconductor device.

도 1a 내지 도 1d는 종래기술에 따른 스토리지노드용 콘택홀 형성 공정을 도시한 단면도.1A to 1D are cross-sectional views illustrating a process for forming a contact hole for a storage node according to the prior art.

도 2a 내지 도 2d는 본 발명의 일실시예에 따른 스토리지노드용 콘택홀 형성 공정을 도시한 단면도. 2A to 2D are cross-sectional views illustrating a process of forming a contact hole for a storage node according to an embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

200: 기판 201 : 제1층간절연막200: substrate 201: first interlayer insulating film

202 : 셀콘택 플러그 203 : 제2층간절연막202: cell contact plug 203: second interlayer insulating film

204 : 비트라인 전도막 205 : 비트라인 하드마스크204: bit line conductive film 205: bit line hard mask

206 : 제3층간절연막 207b : 하드마스크206: third interlayer insulating film 207b: hard mask

209 : 오픈부 209: open section

Claims (13)

기판 상에 실리콘을 포함하는 전도막을 형성하는 단계;Forming a conductive film comprising silicon on the substrate; 상기 전도막 상에 절연성 피식각층을 형성하는 단계;Forming an insulating etching layer on the conductive film; 상기 피식각층 상에 상기 실리콘을 포함하며, 오픈부 형성 예정 영역을 정의하는 하드마스크를 형성하는 단계;Forming a hard mask including the silicon on the etched layer and defining a region to be formed with an open part; 상기 하드마스크를 식각마스크로 상기 피식각층을 식각하여 상기 전도막의 일부를 노출시키는 오픈부를 형성하는 단계; 및Forming an open portion to expose a portion of the conductive layer by etching the etched layer using the hard mask as an etch mask; And 고밀도 플라즈마 장치에서 HBr 플라즈마를 이용한 전면식각을 실시하여 상기 전도막에 대한 어택을 방지하면서 상기 하드마스크를 제거하는 단계Removing the hard mask while performing an entire surface etching using HBr plasma in a high density plasma apparatus to prevent attack on the conductive layer; 를 포함하는 반도체 소자 제조 방법.Semiconductor device manufacturing method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 하드마스크를 제거하는 단계에서,In removing the hard mask, TCP, ICP, DPS 또는 ECR 중 어느 하나의 타입의 장비에서 상기 기판의 온도를 50℃ 내지 100℃로 유지하고, 챔버의 압력을 100mTorr 내지 1000mTorr로 유지하고, 소스 파워를 100W 내지 500W, 바이어스 파워를 0W 내지 30W 사용하는 것을 특징으로 하는 반도체 소자 제조 방법.In the equipment of any one type of TCP, ICP, DPS or ECR, the temperature of the substrate is maintained at 50 ° C to 100 ° C, the chamber pressure is maintained at 100mTorr to 1000mTorr, the source power is 100W to 500W, and the bias power is A semiconductor device manufacturing method characterized by using from 0W to 30W. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 HBr을 100SCCM 내지 300SCCM 사용하는 것을 특징으로 하는 반도체 소자 제조 방법.The method of manufacturing a semiconductor device, characterized in that using the HBr 100SCCM to 300SCCM. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 하드마스크를 제거하는 단계에서, In removing the hard mask, 상기 HBr에 0SCCM 내지 5SCCM의 산소를 더 첨가한 플라즈마를 이용하는 것을 특징으로 하는 반도체 소자 제조 방법.The method of manufacturing a semiconductor device, characterized in that using the plasma further added oxygen of 0SCCM to 5SCCM to the HBr. 제 1 항이 있어서,According to claim 1, 상기 실리콘을 포함하는 전도막은 셀 콘택 플러그, 비트라인 콘택 플러그 또는 스토리지노드 콘택 플러그 중 어느 하나를 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.The silicon-containing conductive film may include any one of a cell contact plug, a bit line contact plug, and a storage node contact plug. 제 1 항에 있어서,The method of claim 1, 상기 실리콘을 포함하는 전도막은 게이트전극 패턴 또는 비트라인를 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.The conductive film comprising silicon comprises a gate electrode pattern or a bit line. 제 1 항에 있어서,The method of claim 1, 상기 피식각층은 산화막을 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.The method of manufacturing a semiconductor device, characterized in that the etched layer includes an oxide film. 제 7 항에 있어서,The method of claim 7, wherein 상기 피식각층을 식각하는 단계에서,In the etching of the etched layer, 자기정렬콘택 식각 공정을 이용하는 것을 특징으로 하는 반도체 소자 제조 방법.A method for manufacturing a semiconductor device, comprising using a self-aligned contact etching process. 제 8 항에 있어서,The method of claim 8, 상기 피식각층을 식각하는 단계에서, In the etching of the etched layer, 상기 오픈부가 형성되는 식각 프로파일은 도전패턴의 측면을 따라 얼라인되는 것을 특징으로 하는 반도체 소자 제조 방법.The etching profile in which the open portion is formed is aligned along the side of the conductive pattern. 제 9 항에 있어서,The method of claim 9, 상기 도전패턴은 비트라인을 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.The conductive pattern includes a bit line. 제 8 항 또는 제 9 항에 있어서,The method according to claim 8 or 9, 상기 피식각층을 식각하는 단계에서,In the etching of the etched layer, CxFy(x,y는 1 ∼ 10)를 주식각가스로 하며, 여기에 폴리머를 발생시키기 위한 가스 즉, CH2F2, C3HF5 또는 CHF3 중 어느 하나의 가스를 첨가하며, 이 때 캐리어 가스로 He, Ne, Ar 또는 Xe 중 어느 하나의 비활성 가스를 사용하는 것을 특징으로 하는 반도체 소자 제조 방법.CxFy (x, y is 1 to 10) as a stock corner gas, and a gas for generating a polymer is added thereto, that is, any one of CH 2 F 2 , C 3 HF 5, or CHF 3 , wherein A method for fabricating a semiconductor device comprising using an inert gas of any one of He, Ne, Ar, or Xe as a carrier gas. 제 1 항에 있어서,The method of claim 1, 하드마스크를 형성하는 단계는,Forming a hard mask, 상기 피식각층 상에 실리콘을 포함하는 하드마스크용 물질막을 증착하는 단계와, Depositing a material layer for a hard mask including silicon on the etched layer; 상기 하드마스크용 물질막 상에 상기 오픈부 형성 예정 영역을 정의하는 포토레지스트 패턴을 형성하는 단계와, Forming a photoresist pattern on the hard mask material layer, the photoresist pattern defining a region to be formed in the open part; 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 물질막을 식각하여 상기 하드마스크를 형성하는 단계와, Etching the hard mask material layer using the photoresist pattern as an etch mask to form the hard mask; 상기 포토레지스트 패턴을 제거하는 단계를 포함하는 것을 특징으로 하는 반도체 소자 제조 방법.Removing the photoresist pattern. 제 12 항에 있어서,The method of claim 12, 상기 포토레지스트 패턴을 형성하는 단계에서, ArF 또는 F2의 노광원을 이용한 포토리소그라피 공정을 이용하는 것을 특징으로 하는 반도체 소자 제조 방법.In the step of forming the photoresist pattern, using a photolithography process using an exposure source of ArF or F 2 .
KR1020040041527A 2004-06-07 2004-06-07 Method for fabrication of semiconductor device KR20050116493A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040041527A KR20050116493A (en) 2004-06-07 2004-06-07 Method for fabrication of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040041527A KR20050116493A (en) 2004-06-07 2004-06-07 Method for fabrication of semiconductor device

Publications (1)

Publication Number Publication Date
KR20050116493A true KR20050116493A (en) 2005-12-13

Family

ID=37290096

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040041527A KR20050116493A (en) 2004-06-07 2004-06-07 Method for fabrication of semiconductor device

Country Status (1)

Country Link
KR (1) KR20050116493A (en)

Similar Documents

Publication Publication Date Title
US7196004B2 (en) Method and fabricating semiconductor device
US20060073699A1 (en) Method for fabricating semiconductor device
KR100670662B1 (en) Method for fabrication of semiconductor device
KR100652791B1 (en) Method for fabrication of semiconductor device
KR100744672B1 (en) Method for fabricating contact hole in semiconductor device
KR100616499B1 (en) Method for fabrication of semiconductor device
KR100670706B1 (en) Forming method of contact plug in semiconductor device
KR100685677B1 (en) Method for fabrication of semiconductor device
KR20060000912A (en) Method for fabrication of semiconductor device
KR101062833B1 (en) Method of forming contact plug of semiconductor device
KR101057759B1 (en) Semiconductor device manufacturing method
KR100701425B1 (en) Method for fabrication of semiconductor device
KR101043734B1 (en) Method for fabrication of semiconductor device
KR20050116493A (en) Method for fabrication of semiconductor device
KR100716651B1 (en) Method for fabrication of semiconductor device
KR100695417B1 (en) Method for fabrication of semiconductor device capable of forming fine pattern
KR20060023004A (en) Forming method of contact plug in semiconductor device
KR20050116311A (en) Method for fabrication of semiconductor device
KR20050116314A (en) Method for fabrication of deep contact hole in semiconductor device
KR20050116483A (en) Forming method of contact hole in semiconductor device
KR100670681B1 (en) Method for manufacturing semiconductor device
KR20050116487A (en) Method for fabrication of semiconductor device
KR20070002798A (en) Method for manufacturing semiconductor device
KR20050117108A (en) Method for fabrication of contact hole in semicomductor device
KR20060029007A (en) Method for fabrication of semiconductor device

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid