KR101062833B1 - Method of forming contact plug of semiconductor device - Google Patents

Method of forming contact plug of semiconductor device Download PDF

Info

Publication number
KR101062833B1
KR101062833B1 KR1020040041524A KR20040041524A KR101062833B1 KR 101062833 B1 KR101062833 B1 KR 101062833B1 KR 1020040041524 A KR1020040041524 A KR 1020040041524A KR 20040041524 A KR20040041524 A KR 20040041524A KR 101062833 B1 KR101062833 B1 KR 101062833B1
Authority
KR
South Korea
Prior art keywords
forming
film
contact hole
etch stop
plug
Prior art date
Application number
KR1020040041524A
Other languages
Korean (ko)
Other versions
KR20050116490A (en
Inventor
박병준
김현수
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020040041524A priority Critical patent/KR101062833B1/en
Publication of KR20050116490A publication Critical patent/KR20050116490A/en
Application granted granted Critical
Publication of KR101062833B1 publication Critical patent/KR101062833B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Abstract

본 발명은 SAC 공정을 이용한 콘택홀 형성시 SAC 페일을 방지하면서 플러그 간의 브릿지 현상을 방지할 수 있는 반도체 소자의 콘택 플러그 형성 방법을 제공하기 위한 것으로, 이를 위해 본 발명은, 전도막이 형성된 기판 상에 그 상부에 하드마스크를 구비하는 이웃하는 복수의 도전패턴을 형성하는 단계; 상기 도전패턴이 형성된 프로파일을 따라 식각정지막을 형성하는 단계; 상기 식각정지막 상에 층간절연막을 형성하는 단계; 상기 식각정지막이 노출되는 타겟으로 평탄화 공정을 실시하는 단계; 상기 평탄화 공정시 발생한 단차를 완화시킬 정도의 두께로 버퍼 절연막을 증착하는 단계; 상기 버퍼 절연막과 상기 층간절연막 및 상기 식각정지막을 선택적으로 식각하여 상기 전도막을 노출시키는 콘택홀을 형성하는 단계; 상기 콘택홀을 매립하도록 전도막을 형성하는 단계; 및 상기 하드마스크가 노출되는 타겟으로 평탄화 공정을 실시하여 상기 콘택홀에 매립되어 아이솔레이션된 플러그를 형성하는 단계를 포함하는 반도체 소자의 콘택 플러그 형성 방법을 제공한다.
The present invention is to provide a method for forming a contact plug of a semiconductor device that can prevent a bridge phenomenon between plugs while preventing SAC fail when forming a contact hole using the SAC process, and for this purpose, the present invention is provided on a substrate on which a conductive film is formed. Forming a plurality of neighboring conductive patterns having a hard mask thereon; Forming an etch stop layer along the profile in which the conductive pattern is formed; Forming an interlayer insulating film on the etch stop film; Performing a planarization process on a target to which the etch stop layer is exposed; Depositing a buffer insulating layer having a thickness sufficient to alleviate the step difference generated during the planarization process; Selectively etching the buffer insulating layer, the interlayer insulating layer, and the etch stop layer to form a contact hole exposing the conductive layer; Forming a conductive film to fill the contact hole; And forming a plug in which the hard mask is exposed to form a planarization process and forming an isolated plug in the contact hole.

SAC, 콘택홀, 플러그, 식각정지막, 스페이서, 리세스, 버퍼 절연막, 평탄화.SAC, contact hole, plug, etch stop film, spacer, recess, buffer insulating film, planarization.

Description

반도체 소자의 콘택 플러그 형성 방법{FORMING METHOD OF CONTACT PLUG IN SEMICONDUCTOR DEVICE} FORMING METHOD OF CONTACT PLUG IN SEMICONDUCTOR DEVICE             

도 1a 내지 도 1c는 종래기술에 따른 셀 콘택 형성 공정을 도시한 단면도.1A to 1C are cross-sectional views illustrating a cell contact forming process according to the prior art.

도 2a 내지 도 2e는 본 발명의 일실시예에 따른 셀 콘택 플러그 형성 공정을 도시한 단면도.
2A through 2E are cross-sectional views illustrating a cell contact plug forming process according to an embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

200: 기판 201 : 소자분리막200: substrate 201: device isolation film

202 : 게이트 절연막 203 : 게이트 전도막202: gate insulating film 203: gate conductive film

204 : 게이트 하드마스크 205 : 불순물 확산영역204: gate hard mask 205: impurity diffusion region

206 : 식각정지막 207 : 층간절연막206: etch stop film 207: interlayer insulating film

208 : 버퍼 절연막 209 : 마스크 패턴
208: buffer insulating film 209: mask pattern

본 발명은 반도체 소자 제조 방법에 관한 것으로 특히, 자기정렬콘택(Self Align Contact; 이하 SAC이라 함) 공정을 이용한 콘택홀 형성시 SAC 페일(Fail)을 방지하고, 플러그 아이솔레이션(Isolation)시 디펙트(Defect) 발생을 억제할 수 있는 반도체 소자의 콘택 플러그 형성 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to prevent SAC fail when forming a contact hole using a Self Align Contact (hereinafter referred to as SAC) process, and to prevent defects during plug isolation. It relates to a method for forming a contact plug of a semiconductor device capable of suppressing the occurrence of defects.

일반적으로, 반도체 소자는 그 내부에 다수의 단위 소자들을 포함하여 이루어진다. 반도체 소자가 고집적화되면서 일정한 셀(Cell) 면적 상에 고밀도로 소자들을 형성하여야 하며, 이로 인하여 단위 소자, 예를 들면 트랜지스터와 캐패시터들의 크기는 점차 줄어들고 있다. 특히 DRAM(Dynamic Random Access Memory)과 같은 반도체 메모리 소자에서 디자인 룰(Design rule)이 감소하면서 셀의 내부에 형성되는 반도체 소자들의 크기가 점차 작아지고 있다. 실제로 최근 반도체 DRAM 장치의 최소 선폭은 0.1㎛ 이하로 형성되며, 80nm 이하까지도 요구되고 있다. 따라서, 셀을 이루는 반도체 소자들의 제조 공정에 많은 어려움들이 발생하고 있다.In general, a semiconductor device includes a plurality of unit devices therein. As semiconductor devices become highly integrated, devices must be formed at a high density on a predetermined cell area, thereby decreasing the size of unit devices such as transistors and capacitors. In particular, as the design rules decrease in semiconductor memory devices such as DRAM (Dynamic Random Access Memory), the size of semiconductor devices formed inside the cell is gradually decreasing. In fact, in recent years, the minimum line width of the semiconductor DRAM device is formed to 0.1㎛ or less, even up to 80nm is required. Therefore, many difficulties arise in the manufacturing process of the semiconductor elements forming the cell.

80nm 이하의 선폭을 갖는 반도체 소자에서 193nm의 파장을 갖는 ArF(불화아르곤) 노광을 이용하여 포토리소그라피 공정을 적용할 경우, 기존의 식각 공정 개념(정확한 패턴 형성과 수직한 식각 프로파일 등)에 식각 도중 발생되는 포토레지스트의 변형(Deformation)의 억제라는 추가의 요구 조건이 필요하게 된다. 이에 따라 80nm 이하의 반도체 소자 제조시에는, 식각의 관점에서 기존의 요구조건과 패턴 변형 방지라는 새로운 요구 조건을 동시에 만족하기 위한 공정 조건의 개발이 주요한 과제가 되었다.In the case of applying a photolithography process using ArF (argon fluoride) exposure having a wavelength of 193 nm in a semiconductor device having a line width of 80 nm or less, the etching process is performed in accordance with the conventional etching process concept (exact pattern formation and vertical etching profile, etc.). There is a need for additional requirements of suppression of deformation of the resulting photoresist. Accordingly, when manufacturing a semiconductor device of 80 nm or less, the development of process conditions for simultaneously satisfying the existing requirements and the new requirements of pattern deformation prevention has become a major problem in terms of etching.

한편, 반도체 소자의 고집적화가 가속화됨에 따라 반도체 소자를 이루는 여 러 요소들은 적층 구조를 이루게 되었고, 이에 따라 도입된 것이 콘택 플러그(또는 패드) 개념이다.Meanwhile, as the integration of semiconductor devices is accelerated, various elements constituting the semiconductor devices have a stacked structure, and thus, a contact plug (or pad) concept is introduced.

이러한 콘택 플러그를 형성함에 있어서, 하부에서의 최소의 면적으로 접촉 면적을 넓히며 상부에서는 후속 공정에 대한 공정 마진을 넓히기 위해 콘택되는 하부에 비해 그 상부의 면적이 큰 일명, 랜딩 플러그 콘택(Landing plug contact) 기술이 도입되어 통상적으로 사용되고 있다. In forming such a contact plug, a landing plug contact is known as having a larger area at the upper part than the lower part contacted to increase the contact area with a minimum area at the lower part and to increase the process margin for subsequent processes at the upper part. ) Technology has been introduced and commonly used.

또한, 이러한 콘택 형성을 위해서는 고종횡비를 갖는 구조물 사이를 식각해야 하는 어려움이 있으며, 이 때 두 물질 예컨대, 산화막과 질화막간의 식각 선택비를 이용하여 식각 프로파일을 얻는 SAC 공정이 도입되었다.In addition, in order to form such a contact, it is difficult to etch between structures having a high aspect ratio. In this case, an SAC process for obtaining an etching profile using an etching selectivity between two materials, for example, an oxide film and a nitride film, has been introduced.

SAC 공정을 위해서는 CF 및 CHF 계열의 가스를 이용하며, 이 때 하부의 도전패턴에 대한 어택을 방지하기 위해 질화막 등을 이용한 식각정지막과 스페이서 등이 필요하다.For the SAC process, CF and CHF-based gases are used, and an etch stop film and a spacer using a nitride film are required to prevent an attack on the conductive pattern below.

예컨대, 게이트 전극의 경우 그 상부 및 측면에 질화막 계열의 스페이서를 형성하고 있으며, 종횡비가 증가함에 따라 복수의 질화막이 적층된 구조로 스페이서를 이용하며, 이러한 질화막간 또는 질화막과 기판 간의 스트레스 발생으로 인한 크랙(Crack) 등의 문제와 소자의 신뢰성 측면을 고려하여 질화막 사이에 버퍼 산화막을 사용한다. 그 대표적인 예가 질화막/산화막/질화막의 3중 구조의 스페이서이다. 셀 콘택시 어택 방지를 위해 이러한 3중 구조의 상부에 질화막 계열의 식각정지막을 추가로 형성하여 사용한다.For example, in the case of a gate electrode, spacers of nitride layers are formed on upper and side surfaces thereof, and spacers are used in a structure in which a plurality of nitride layers are stacked as the aspect ratio increases, and due to stress generation between the nitride layers or between the nitride layer and the substrate. A buffer oxide film is used between nitride films in consideration of cracks and the like and reliability of the device. A representative example thereof is a spacer having a triple structure of a nitride film / oxide film / nitride film. In order to prevent cell contact attack, an etch stop layer based on a nitride film is further formed on the triple structure.

이하, 전술한 SAC 식각 공정을 이용한 셀콘택 공정을 살펴 보는 바, 도 1a 내지 도 1c는 종래기술에 따른 셀 콘택 형성 공정을 도시한 단면도이다.Hereinafter, a cell contact process using the aforementioned SAC etching process will be described, and FIGS. 1A to 1C are cross-sectional views illustrating a cell contact forming process according to the prior art.

먼저, 도 1a에 도시된 바와 같이, 반도체 소자를 이루기 위한 여러 요소 예컨대, 필드절연막(101)과 웰(도시하지 않음) 등이 형성된 반도체 기판(100) 상에 게이트 하드마스크(104)/게이트 전도막(103)/게이트 절연막(102)이 적층된 게이트전극 패턴(G1 ∼ G5)을 형성한다.First, as shown in FIG. 1A, a gate hard mask 104 / gate conduction is formed on a semiconductor substrate 100 on which various elements for forming a semiconductor device, for example, a field insulating film 101 and a well (not shown), are formed. Gate electrode patterns G1 to G5 in which the film 103 / gate insulating film 102 are stacked are formed.

게이트 절연막(102)은 실리콘 산화막 등의 통상적인 산화막 계열의 물질막을 이용하고, 게이트 전도막(103)은 통상 폴리실리콘, W, WN, WSix 또는 이들의 조합된 형태를 이용한다. The gate insulating film 102 uses a conventional oxide-based material film such as a silicon oxide film, and the gate conductive film 103 typically uses polysilicon, W, WN, WSi x, or a combination thereof.

게이트 하드마스크(104)는 후속 콘택 형성을 위한 식각 공정 중 층간절연막을 식각하여 콘택홀을 형성하는 과정에서 게이트 전도막(103)을 보호하기 위한 것으로서, 층간절연막과 식각 속도가 현저하게 차이나는 물질을 사용한다. 예컨대, 층간절연막으로 산화막 계열을 사용할 경우에는 실리콘 질화막(SiN) 또는 실리콘 산화질화막(SiON) 등의 질화막 계열의 물질을 사용하고, 층간절연막으로 폴리머계 저유전율막을 사용할 경우에는 산화막 계열의 물질을 사용한다.The gate hard mask 104 is used to protect the gate conductive layer 103 in the process of forming the contact hole by etching the interlayer insulating layer during the etching process for subsequent contact formation, and the etching speed is significantly different from that of the interlayer insulating layer. Use For example, when an oxide-based layer is used as an interlayer insulating film, a nitride-based material such as silicon nitride film (SiN) or a silicon oxynitride film (SiON) is used, and an oxide-based material is used when a polymer-based low dielectric film is used as the interlayer insulating film. do.

게이트전극 패턴(G1 ∼ G5) 사이의 기판(100)에 소스/드레인 접합 등의 불순물 확산영역(105)을 형성한다.An impurity diffusion region 105 such as a source / drain junction is formed in the substrate 100 between the gate electrode patterns G1 to G5.

게이트전극 패턴(G1 ∼ G5)이 형성된 프로파일을 따라 스페이서(도시하지 않음)를 형성한 다음. 스페이서가 형성된 전면에 후속 SAC 방식을 이용한 식각 공정에서 스페이서 및 게이트 전극 패턴(G1 ∼ G5) 등의 하부 구조의 어택을 방지하기 위해 식각 멈춤 역할을 하는 식각정지막(106)을 형성한다. 이 때, 하부의 프로파일을 따라 식각정지막(106)이 형성되도록 하는 것이 바람직하며, 식각정지막(106)으로는 질화막 계열의 물질막을 이용한다.A spacer (not shown) is formed along the profile in which the gate electrode patterns G1 to G5 are formed. An etch stop layer 106 is formed on the entire surface of the spacer to serve as an etch stop to prevent attack of the underlying structures such as the spacers and the gate electrode patterns G1 to G5 in an etching process using a subsequent SAC method. In this case, the etch stop layer 106 may be formed along the lower profile, and a nitride layer-based material layer is used as the etch stop layer 106.

다음으로, 도 1b에 도시한 바와 같이, 식각정지막(106)이 형성된 전체 구조 상부에 산화막 계열의 층간절연막(107)을 형성한다.Next, as shown in FIG. 1B, an oxide-based interlayer insulating film 107 is formed over the entire structure where the etch stop film 106 is formed.

층간절연막(107)을 산화막 계열의 물질막으로 이용할 경우에는 BSG(Boro-Silicate-Glass)막, BPSG(Boro-Phopho-Silicate-Glass)막, PSG(Phospho-Silicate-Glass)막, TEOS(Tetra-Ethyl-Ortho-Silicate)막, HDP(High Density Plasma) 산화막, SOG(Spin On Glass)막 또는 APL(Advanced Planarization Layer)막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계열의 저유전율막을 이용할 수 있다.When the interlayer insulating film 107 is used as an oxide-based material film, a BSG (Boro-Silicate-Glass) film, BPSG (Boro-Phopho-Silicate-Glass) film, PSG (Phospho-Silicate-Glass) film, TEOS (Tetra) -Ethyl-Ortho-Silicate (HDP) film, HDP (High Density Plasma) film, SOG (Spin On Glass) film, or APL (Advanced Planarization Layer) film, etc. have.

이어서, 층간절연막(107) 상에 셀 콘택 플러그 형성을 위한 포토레지스트 패턴(108)을 형성한다. 포토레지스트 패턴(108)과 그 하부의 층 사이에 통상 반사방지막을 사용하나 여기서는 설명의 간략화를 위해 생략하였다.Subsequently, a photoresist pattern 108 for forming a cell contact plug is formed on the interlayer insulating film 107. An anti-reflection film is typically used between the photoresist pattern 108 and the underlying layer, but is omitted here for the sake of simplicity.

계속해서, 포토레지스트 패턴(108)을 식각마스크로 층간절연막(107)과 식각정지막(106)을 식각하여 이웃하는 게이트전극 패턴(G1 ∼ G5) 사이의 불순물 확산영역(105)을 노출시키는 콘택홀(109)을 형성한다. Subsequently, the interlayer insulating film 107 and the etch stop film 106 are etched using the photoresist pattern 108 as an etch mask to expose the impurity diffusion region 105 between the adjacent gate electrode patterns G1 to G5. The hole 109 is formed.

전술한 콘택홀(119) 형성 공정은 대체적으로, 층간절연막(107)과 게이트 하드마스크(104)의 식각선택비를 이용한 SAC 식각 공정으로, 포토레지스트 패턴(108)을 식각마스크로 층간절연막(107)을 식각하여 식각정지막(106)에서 식각 멈춤을 하는 SAC 식각 공정과, 식각정지막(106)과 스페이서 등을 제거하여 기판(100, 구체적 으로는 불순물 확산영역(105))을 노출시키는 콘택홀(109) 오픈 공정 및 콘택홀(109)의 개구부를 확장하며 식각 잔류물을 제거하기 위한 세정 공정 등으로 나뉜다. The above-described contact hole 119 forming process is generally an SAC etching process using an etching selectivity of the interlayer insulating layer 107 and the gate hard mask 104. The photoresist pattern 108 is formed as an etching mask. ) And a contact for exposing the substrate 100 (specifically, the impurity diffusion region 105) by removing the etch stop layer 106 and the spacer. The hole 109 is divided into an opening process and a cleaning process for extending the opening of the contact hole 109 and removing an etching residue.

이러한 식각 공정에서는 주로 CF4 등의 CxFy(x,y는 1 ∼ 10) 가스와 CH2F2 등의 CaHbFc(a,b,c는 1 ∼ 10) 가스를 혼합하여 사용한다.In such an etching process, CxFy (x, y is 1 to 10) gas, such as CF 4 , and CaHbFc (a, b, c is 1 to 10) gas, such as CH 2 F 2 , are mixed and used.

한편, 고집적화에 따라 게이트전극 패턴(G1 ∼ G5)의 수직 높이는 증가한 반면, 피치의 감소에 따라 게이트전극 패턴의 폭과 그들 사이의 스페이싱이 줄어들게 되었다. 따라서, SAC 식각 공정에서 식각 타겟은 도시된 'a'와 같이 증가하게 되어, 충분한 과도 식각을 진행하기엔 도시된 'b'와 같이 게이트 하드마스크(104)의 과다한 손실이 발생하여 SAC 페일을 발생할 우려가 있고, 과도 식각을 마진없이 진행하기에는 콘택 낫 오픈(Contact not open)이 발생할 가능성이 증가한다.On the other hand, while the vertical height of the gate electrode patterns G1 to G5 increases with high integration, the width of the gate electrode pattern and the spacing therebetween decrease with decreasing pitch. Therefore, in the SAC etching process, the etching target is increased as shown in 'a', so that excessive loss of the gate hard mask 104 occurs as shown in 'b' in order to proceed with sufficient excessive etching, resulting in SAC fail. In addition, the possibility of contact not open is increased to proceed with excessive etching without a margin.

스토리지노드 콘택을 위한 SAC 식각 공정의 경우 마진 감소로 라인 타입의 콘택홀 패턴의 경우 이러한 문제점이 더욱 증가하는 경향이 있다.In the case of SAC etching process for storage node contact, the problem tends to increase even in the case of line type contact hole pattern due to the decrease of margin.

이어서, 애싱(Ashing) 공정을 통해 포토레지스트 패턴(108)을 제거하는 바, 반사방지막으로 유기 계열의 물질을 사용할 경우 이러한 애싱 공정에서 포토레지스트 패턴(108)과 같이 제거된다.Subsequently, the photoresist pattern 108 is removed through an ashing process. When an organic material is used as the antireflection film, the photoresist pattern 108 is removed as in the ashing process.

이어서, 도 1c에 도시된 바와 같이, 콘택홀(109)이 형성된 전면에 플러그 형성용 전도성 물질을 증착하여 콘택홀(109)을 충분히 매립시킨 다음, 게이트 하드마스크(104)가 노출되는 타겟으로 평탄화 공정을 실시하여 콘택홀(109)을 통해 불순 물 확산영역(105)과 전기적으로 도통되며 게이트 하드마스크(104)와 상부가 평탄화된 플러그(110)를 형성한다. 플러그 형성용 전도성 물질로는 주로 폴리실리콘을 사용한다.Subsequently, as shown in FIG. 1C, a plug forming conductive material is deposited on the entire surface where the contact hole 109 is formed to sufficiently fill the contact hole 109, and then planarize to a target to which the gate hard mask 104 is exposed. The process may be performed to form a plug 110 electrically connected to the impurity diffusion region 105 through the contact hole 109 and having the gate hard mask 104 flattened thereon. As the plug forming conductive material, polysilicon is mainly used.

플러그(110) 이이솔레이션을 위한 평탄화 공정은 주로 화학기계적연마(Chemical Mechanical Polishing; 이하 CMP라 함)을 이용한다.The planarization process for plug 110 isolation mainly uses chemical mechanical polishing (hereinafter referred to as CMP).

한편, 전술한 문제점을 해결하기 위해 SAC 식각 공정시 타겟을 줄이기 위해 CMP 공정을 실시할 수도 있으나, CMP 공정시 연마 선택비의 차이로 인해 발생한 단차 부분에 폴리실리콘 등의 잔류물이 남아 플러그 간의 브릿지를 유발할 가능성이 있다.
Meanwhile, in order to solve the above-mentioned problems, the CMP process may be performed to reduce the target during the SAC etching process, but residues such as polysilicon remain in the stepped portion caused by the difference in the polishing selectivity during the CMP process. Is likely to cause

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, SAC 공정을 이용한 콘택홀 형성시 SAC 페일을 방지하면서 플러그 간의 브릿지 현상을 방지할 수 있는 반도체 소자의 콘택 플러그 형성 방법을 제공하는 것을 그 목적으로 한다.
The present invention has been proposed to solve the above problems of the prior art, and provides a method for forming a contact plug of a semiconductor device capable of preventing a bridge phenomenon between plugs while preventing SAC failure when forming a contact hole using a SAC process. For that purpose.

상기의 목적을 달성하기 위해 본 발명은, 전도막이 형성된 기판 상에 그 상부에 하드마스크를 구비하는 이웃하는 복수의 도전패턴을 형성하는 단계; 상기 도전패턴이 형성된 프로파일을 따라 식각정지막을 형성하는 단계; 상기 식각정지막 상에 층간절연막을 형성하는 단계; 상기 식각정지막이 노출되는 타겟으로 평탄화 공정을 실시하는 단계; 상기 평탄화 공정시 발생한 단차를 완화시킬 정도의 두께로 버퍼 절연막을 증착하는 단계; 상기 버퍼 절연막과 상기 층간절연막 및 상기 식각정지막을 선택적으로 식각하여 상기 전도막을 노출시키는 콘택홀을 형성하는 단계; 상기 콘택홀을 매립하도록 전도막을 형성하는 단계; 및 상기 하드마스크가 노출되는 타겟으로 평탄화 공정을 실시하여 상기 콘택홀에 매립되어 아이솔레이션된 플러그를 형성하는 단계를 포함하는 반도체 소자의 콘택 플러그 형성 방법을 제공한다.
In order to achieve the above object, the present invention comprises the steps of: forming a plurality of neighboring conductive patterns having a hard mask thereon on a substrate on which a conductive film is formed; Forming an etch stop layer along the profile in which the conductive pattern is formed; Forming an interlayer insulating film on the etch stop film; Performing a planarization process on a target to which the etch stop layer is exposed; Depositing a buffer insulating layer having a thickness sufficient to alleviate the step difference generated during the planarization process; Selectively etching the buffer insulating layer, the interlayer insulating layer, and the etch stop layer to form a contact hole exposing the conductive layer; Forming a conductive film to fill the contact hole; And forming a plug in which the hard mask is exposed to form a planarization process and forming an isolated plug in the contact hole.

본 발명은 도전패턴(예컨대, 게이트 전극 패턴, 비트라인 등) 형성 후 식각정지막 및 층간절연막을 형성하고, 식각정지막 또는 스페이서의 최상부가 노출되는 타겟으로 평탄화 공정을 실시하여 식각 타겟을 줄인 다음, 전면에 버퍼 절연막을 증착하여 식각 타겟을 줄이기 위한 연마 공정에서 발생한 패턴 간의 단차를 줄인 후, SAC 식각 공정을 실시하고 플러그를 형성한다.The present invention forms an etch stop layer and an interlayer dielectric layer after forming a conductive pattern (eg, a gate electrode pattern, a bit line, etc.), and reduces the etch target by performing a planarization process with a target at which the top of the etch stop layer or the spacer is exposed. After depositing a buffer insulating film on the entire surface to reduce the step difference between the patterns generated in the polishing process to reduce the etching target, the SAC etching process is performed to form a plug.

따라서, 콘택 낫 오픈 현상 등 SAC 페일을 방지할 수 있으며, 식각 타겟 감소를 위해 실시하는 평탄화 공정으로 인한 플러그 간의 브릿지 발생을 억제할 수 있다.
Therefore, SAC failing such as a contact sickle opening phenomenon can be prevented, and generation of a bridge between plugs due to a planarization process performed to reduce an etching target can be suppressed.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다. DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. do.                     

도 2a 내지 도 2e는 본 발명의 일실시예에 따른 셀 콘택 플러그 형성 공정을 도시한 단면도로서, 이를 참조하여 본 발명의 일실시예에 따른 플러그 형성 공정을 살펴본다.2A to 2E are cross-sectional views illustrating a cell contact plug forming process according to an exemplary embodiment of the present invention, with reference to this, a plug forming process according to an exemplary embodiment of the present invention will be described.

먼저 도 2a에 도시된 바와 같이, 소자분리막(201) 및 웰 등의 반도체 소자를 이루기 위한 여러 요소가 형성된 반도체 기판(200) 상에 게이트 하드마스크(204)/게이트 전도막(203)/게이트 절연막(202)이 적층된 게이트전극 패턴(G1 ∼ G5)을 형성한다.First, as shown in FIG. 2A, a gate hard mask 204 / gate conductive film 203 / gate insulating film is formed on a semiconductor substrate 200 on which various elements for forming a semiconductor device such as an isolation layer 201 and a well are formed. The gate electrode patterns G1 to G5 on which 202 are stacked are formed.

게이트 절연막(202)은 실리콘 산화막 등의 통상적인 산화막 계열의 물질막을 이용하고, 게이트 전도막(203)은 통상 폴리실리콘, W, WN, WSix 또는 이들의 조합된 형태를 이용한다. The gate insulating film 202 uses a conventional oxide-based material film such as a silicon oxide film, and the gate conductive film 203 typically uses polysilicon, W, WN, WSi x, or a combination thereof.

게이트 하드마스크(204)는 후속 콘택 형성을 위한 식각 공정 중 층간절연막을 식각하여 콘택홀을 형성하는 과정에서 게이트 전도막(203)을 보호하기 위한 것으로서, 층간절연막과 식각 속도가 현저하게 차이나는 물질을 사용한다. 예컨대, 층간절연막으로 산화막 계열을 사용할 경우에는 실리콘 질화막(SiN) 또는 실리콘 산화질화막(SiON) 등의 질화막 계열의 물질을 사용하고, 층간절연막으로 폴리머계 저유전율막을 사용할 경우에는 산화막 계열의 물질을 사용한다.The gate hard mask 204 is used to protect the gate conductive layer 203 in the process of forming the contact hole by etching the interlayer insulating layer during the etching process for the subsequent contact formation, and the material having a significantly different etching speed from the interlayer insulating layer. Use For example, when an oxide-based layer is used as an interlayer insulating film, a nitride-based material such as silicon nitride film (SiN) or a silicon oxynitride film (SiON) is used, and an oxide-based material is used when a polymer-based low dielectric film is used as the interlayer insulating film. do.

게이트전극 패턴(G1 ∼ G5) 사이의 기판(200)에 소스/드레인 접합 등의 불순물 확산영역(205)을 형성한다.An impurity diffusion region 205 such as a source / drain junction is formed in the substrate 200 between the gate electrode patterns G1 to G5.

게이트전극 패턴(G1 ∼ G5)이 형성된 프로파일을 따라 예컨대, 질화막의 단 독 또는 복수의 구조 또는 질화막/산화막/질화막 구조를 갖는 스페이서(S)를 형성한다.A spacer S having, for example, a single or plural structure of the nitride film or a nitride film / oxide film / nitride film structure is formed along the profile in which the gate electrode patterns G1 to G5 are formed.

이어서, 스페이서가 형성된 전면에 후속 SAC 방식을 이용한 식각 공정에서 게이트 전극 패턴(G1 ∼ G5) 등의 하부 구조의 어택을 방지하기 위해 식각 멈춤 역할을 하는 식각정지막(206)을 형성한다. 이 때, 하부 구조의 프로파일을 따라 식각정지막(206)이 형성되도록 하는 것이 바람직하며, 질화막 계열의 물질막을 이용한다.Subsequently, an etch stop layer 206 is formed on the entire surface where the spacer is formed in order to prevent attack of underlying structures such as gate electrode patterns G1 to G5 in an etching process using a subsequent SAC method. In this case, the etch stop film 206 is preferably formed along the profile of the lower structure, and a nitride film-based material film is used.

이어서, 식각정지막(206)이 형성된 전체 구조 상부에 산화막 계열의 층간절연막(207)을 형성한다.Subsequently, an oxide-based interlayer insulating film 207 is formed on the entire structure where the etch stop film 206 is formed.

층간절연막(207)을 산화막 계열의 물질막으로 이용할 경우에는 BSG막, BPSG막, PSG막, TEOS막, HDP 산화막, SOG막 또는 APL막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계열의 저유전율막을 이용할 수 있다.When the interlayer insulating film 207 is used as an oxide-based material film, a BSG film, a BPSG film, a PSG film, a TEOS film, an HDP oxide film, an SOG film, or an APL film is used. In addition to the oxide film, an inorganic or organic low dielectric constant is used. Membrane can be used.

이어서, 도 2b에 도시된 바와 같이, SAC 식각 공정시 식각 타겟을 줄이기 위해 후속 SAC 식각 공정이 이루어질 부분에서 식각정지막(106)이 노출되도록 층간절연막(207)을 부분적으로 평탄화시킨다. 평탄화시에는 통상적인 CMP 공정을 적용한다. Subsequently, as shown in FIG. 2B, the interlayer insulating layer 207 is partially planarized to expose the etch stop layer 106 at a portion where a subsequent SAC etching process is to be performed to reduce the etching target during the SAC etching process. In the case of planarization, a conventional CMP process is applied.

연마 CMP 공정의 특성상 패턴의 밀도와 물질 간의 연마 선택비의 차이에 의해 단차가 발생하는 바, 대체적으로 게이트전극 패턴(G1 ∼ G5) 상부에 비해 그 주변에서의 층간절연막(207)의 연마가 과도하게 발생한다.Due to the characteristics of the polishing CMP process, a step occurs due to the difference in the density of the pattern and the polishing selectivity between the materials. Generally, the polishing of the interlayer insulating film 207 around the gate electrode patterns G1 to G5 is excessive. Occurs.

한편, 평탄화시 CMP 이외에 전면식각 공정을 이용할 수도 있다. Meanwhile, a planar etching process may be used in addition to CMP during planarization.                     

이러한 단차로 인해 후속 플러그 공정시 잔유물로 인한 플러그 간의 브릿지 발생을 억제하기 위해 평탄화된 전면에 단차 제거를 위한 버퍼 절연막(208)을 증착한다. 버퍼 절연막(208)은 그 유전율이 낮은 산화막 계열을 이용하는 것이 바람직하다.Due to such a step, a buffer insulating layer 208 for removing the step is deposited on the flattened front surface in order to suppress the bridge between plugs due to the residues in the subsequent plug process. As the buffer insulating film 208, an oxide film series having a low dielectric constant is preferably used.

버퍼 절연막(206)은 단차를 제거할 수 있을 최소한의 두께로 형성하는 것이 바람직하다.The buffer insulating film 206 is preferably formed to a minimum thickness that can eliminate the step.

이어서, 도 2c에 도시된 바와 같이, 버퍼 절연막(208) 상에 셀 콘택 플러그 형성을 위한 마스크 패턴(209)을 형성한다. Subsequently, as shown in FIG. 2C, a mask pattern 209 for forming a cell contact plug is formed on the buffer insulating layer 208.

여기서, 마스크 패턴(209)은 통상의 포토레지스트 패턴일 수도 있고, 포토레지스트 패턴과 하드마스크를 포함할 수도 있고, 하드마스크 만을 지칭할 수도 있다. 하드마스크 재료로는 Al2O3 또는 질화막 계열의 절연성 물질이나 텅스텐 또는 폴리실리콘 등의 전도성 물질을 이용할 수 있다.Here, the mask pattern 209 may be a conventional photoresist pattern, may include a photoresist pattern and a hard mask, or may refer to only a hard mask. As the hard mask material, an insulating material based on Al 2 O 3 or a nitride film, or a conductive material such as tungsten or polysilicon may be used.

즉, 이는 포토리소그라피 공정에서의 해상력의 한계로 인한 포토레지스트의 식각 내성을 확보하고 패턴 변형을 방지하기 위해 텅스텐, 폴리실리콘 또는 질화막 등의 희생 하드마스크를 사용할 수도 있음을 나타낸다.That is, this indicates that a sacrificial hard mask such as tungsten, polysilicon, or nitride may be used to secure the etching resistance of the photoresist and prevent the pattern deformation due to the limitation of the resolution in the photolithography process.

한편, 포토레지스트 패턴 형성시 그 하부와의 사이에 반사방지막을 사용할 수 있다. 반사방지막은 패턴 형성을 위한 노광시 하부의 광반사도가 높아 난반사가 이루어져 원하지 않는 패턴이 형성되는 것을 방지하며, 하부 구조와 포토레지스트의 접착력을 향상시킬 목적으로 포토레지스트 패턴과 하부 구조 사이에 사용한다. 이 때, 반사방지막은 포토레지스트와 그 식각 특성이 유사한 유기 계열의 물질을 주로 사용하며, 공정에 따라서는 이를 생략할 수도 있다.On the other hand, when forming a photoresist pattern, an anti-reflection film can be used between the lower part and the lower part. The anti-reflection film is used between the photoresist pattern and the lower structure for the purpose of improving the adhesion between the lower structure and the photoresist to prevent unwanted reflections due to high reflectivity of the lower part during exposure for pattern formation and to prevent unwanted reflections. . In this case, the anti-reflection film mainly uses an organic-based material having similar etching characteristics to that of the photoresist, and may be omitted depending on the process.

포토레지스트 패턴 형성 공정을 보다 구체적으로 살펴 보면, 버퍼 절연막(208)이나 반사방지막 또는 하드마스크용 물질막 등의 하부 구조 상에 F2 노광원용 또는 ArF 노광원용의 포토레지스트 예를 들어, ArF 노광원용 포토레지스트인 COMA 또는 아크릴레이드를 스핀 코팅 등의 방법을 통해 적절한 두께로 도포한 다음, F2 노광원 또는 ArF 노광원과 콘택 플러그의 폭을 정의하기 위한 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정에 의해 노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔유물 등을 제거함으로써 셀 콘택 오픈 마스크인 포토레지스트 패턴을 형성한다.Looking at the photoresist pattern forming process in more detail, a photoresist for an F 2 exposure source or an ArF exposure source on an underlying structure such as a buffer insulating film 208, an antireflection film, or a hard mask material film, for example, an ArF exposure source. The photoresist, COMA or acrylate, is applied to an appropriate thickness by spin coating or the like, and then a predetermined reticle (not shown) is used to define the width of the F 2 exposure source or ArF exposure source and the contact plug. A photoresist as a cell contact open mask is selectively exposed by selectively exposing a predetermined portion of the photoresist, leaving portions exposed or not exposed by the exposure process through a developing process, and then removing etch residues through a post-cleaning process or the like. Form a pattern.

여기서, 포토레지스트 패턴은 홀타입, 바타입 또는 티타입 등의 형태를 사용할 수 있다.Here, the photoresist pattern may be in the form of a hole type, bar type or tee type.

이어서, 도 2d에 도시된 바와 같이, 마스크 패턴(210)을 식각마스크로 피식각층인 버퍼 절연막(208)과 층간절연막(207)을 식각하여 이웃하는 게이트 전극 패턴(G1 ∼ G5) 사이의 식각정지막(206)에서 식각이 멈추는 SAC 식각 공정을 실시하여 콘택홀(210)을 형성한다.Subsequently, as shown in FIG. 2D, the etch stop between the adjacent gate electrode patterns G1 to G5 is etched by etching the buffer insulating film 208 and the interlayer insulating film 207, which are layers to be etched, using the mask pattern 210 as an etching mask. The contact hole 210 is formed by performing a SAC etching process in which the etching stops at the film 206.

이 때, 통상의 SAC 식각 공정의 레시피를 적용하는 바, 불소계플라즈마 예컨대, C2F4, C2F6, C3F8, C4 F6, C5F8 또는 C5F10 등의 CxFy(x,y는 1 ∼ 10)를 주식각가 스로 하며, 여기에 SAC 공정시 폴리머를 발생시키기 위한 가스 즉, CH2F2, C 3HF5 또는 CHF3 등의 가스를 첨가하며, 이 때 캐리어 가스로 He, Ne, Ar 또는 Xe 등의 비활성 가스를 사용한다.At this time, the recipe of the conventional SAC etching process is applied, such as fluorine-based plasma, such as C 2 F 4 , C 2 F 6 , C 3 F 8 , C 4 F 6 , C 5 F 8 or C 5 F 10 CxFy (x, y is 1 to 10) as the stock angle, and a gas for generating a polymer in the SAC process, that is, a gas such as CH 2 F 2 , C 3 HF 5, or CHF 3 is added thereto. As a carrier gas, an inert gas such as He, Ne, Ar, or Xe is used.

이 때, 콘택홀(210)이 형성된 게이트 전극 패턴(G1 ∼ G5)의 측면에서는 식각정지막(206)이 스페이서 형상으로 남는다.At this time, the etch stop layer 206 remains in a spacer shape on the side surfaces of the gate electrode patterns G1 to G5 on which the contact holes 210 are formed.

후속 공정으로, 마스크 패턴(210)이 포토레지스트 패턴을 포함할 경우 이를 제거하기 위해 통상의 포토레지스트 스트립 공정을 실시하다.In a subsequent process, a conventional photoresist strip process is performed to remove the mask pattern 210 if it contains a photoresist pattern.

마스크 패턴(210)이 희생 하드마스크 만을 포함할 경우 하드마스크 제거 공정은 생략할 수 있다.If the mask pattern 210 includes only the sacrificial hard mask, the hard mask removing process may be omitted.

이어서, 이어서, 전면식각 또는 습식 세정 공정을 실시하여 콘택홀(210) 저면에서의 식각정지막(206)을 제거하여 기판(200, 구체적으로는 불순물 확산영역(205))을 노출시키는 콘택홀(210) 오픈 공정을 실시한다.Subsequently, the contact hole exposing the substrate 200 (specifically, the impurity diffusion region 205) by removing the etch stop layer 206 from the bottom of the contact hole 210 by performing a full surface etching or a wet cleaning process. 210) Open process.

계속해서, 콘택홀 저면의 CD를 확보하고 SAC 및 전면 식각 등의 공정 후 잔류하는 식각 부산물을 제거하기 위해 BOE 등의 세정액을 이용하여 습식 세정을 실시한다. 세정 시에는 BOE 또는 불산을 이용하는 바, 불산의 경우 물과 불산의 비가 50:1 ∼ 500:1인 묽은 물산을 이용하는 것이 바람직하다.Subsequently, wet cleaning is performed using a cleaning solution such as BOE to secure the CD on the bottom of the contact hole and to remove the etching by-products remaining after the SAC and the front surface etching. When washing, BOE or hydrofluoric acid is used. In the case of hydrofluoric acid, it is preferable to use dilute hydrochloric acid having a ratio of 50: 1 to 500: 1.

계속해서, 도 2e에 도시된 바와 같이, 콘택홀(210)이 형성된 기판(200) 전면에 플러그 형성용 전도막을 증착하여 콘택홀(210)을 충분히 매립시킨다.Subsequently, as shown in FIG. 2E, the conductive film for plug formation is deposited on the entire surface of the substrate 200 on which the contact hole 210 is formed to sufficiently fill the contact hole 210.

여기서, 플러그 형성용 전도막 물질로 가장 많이 사용되는 물질은 폴리실리 콘이며, Ti, TiN 등의 배리어메탈층과 적층하여 형성하기도 하며, 폴리실리콘 대신 텅스텐 등의 금속을 사용할 수도 있다.Here, the most commonly used material for forming a conductive film for plug formation is polysilicon, and may be formed by laminating with barrier metal layers such as Ti and TiN, and metal such as tungsten may be used instead of polysilicon.

이어서, CMP 또는 전면식각 공정을 실시하여 콘택홀(210)을 통해 기판(200)과 전기적으로 도통되고 게이트 하드마스크(204)과 상부가 평탄화되며 아이솔레이션이 이루어진 셀 콘택 플러그(211)를 형성한다. Subsequently, a CMP or an entire surface etching process may be performed to form a cell contact plug 211 electrically connected to the substrate 200 through the contact hole 210 and planarized and isolated from the gate hard mask 204.

한편, 전술한 실시예에서는 셀 콘택 플러그 형성 공정을 그 예로 하였으나, 비트라인 콘택 플러그나, 스토리지노드 콘택 플러그 형성 공정에 이를 적용할 수 있다.Meanwhile, in the above-described embodiment, the cell contact plug forming process is taken as an example, but it may be applied to a bit line contact plug or a storage node contact plug forming process.

따라서, 스토리지노드 콘택 플러그 형성 공정일 경우 하부의 불순물 확산영역(205)은 셀 콘택 플러그 또는 콘택 패드로 대체될 것이고, 게이트 전극 패턴은 비트라인으로 대체될 것이다.Therefore, in the storage node contact plug forming process, the lower impurity diffusion region 205 may be replaced by a cell contact plug or a contact pad, and the gate electrode pattern may be replaced by a bit line.

따라서, 버퍼 절연막(208)에 의해 하부의 단차가 어느 정도 완화되어 있어, 플러그(211) 아이솔레이션시 플러그 형성용 전도막의 잔유물로 인한 플러그(211) 간의 브릿지 발생이 억제할 수 있다.
Accordingly, the lower stepped portion is alleviated to some extent by the buffer insulating film 208, so that the occurrence of bridges between the plugs 211 due to the residue of the plug-forming conductive film at the time of plug 211 isolation can be suppressed.

전술한 바와 같이 이루어지는 본 발명은, 게이트전극 패턴 또는 비트라인 등의 도전패턴 형성 후 층간절연막을 형성하고, 식각정지막 또는 스페이서의 최상부가 노출되는 타겟으로 평탄화 공정을 실시한 다음, 그 전면에 버퍼 절연막을 얇게 증착함으로써, 평탄화시 발생한 단차를 어느 정도 완화시킨다.According to the present invention as described above, after forming a conductive pattern such as a gate electrode pattern or a bit line, an interlayer insulating film is formed, a planarization process is performed on a target to which the top of the etch stop film or the spacer is exposed, and then the buffer insulating film is formed on the entire surface. By depositing a thin film, the level | step difference which arose at the time of planarization is alleviated to some extent.

따라서, SAC 식각 타겟을 줄인 후 SAC 식각 공정을 실시함으로써, 콘택 낫 오픈 현상 등 SAC 페일을 방지할 수 있으며, 평탄화시 발생한 단차에 플러그 물질이 잔류함으로써 발생하는 플러그간 브릿지 현상을 억제할 수 있음을 실시예를 통해 알아 보았다.
Therefore, by reducing the SAC etching target and performing the SAC etching process, it is possible to prevent SAC failing such as contact sickle opening phenomenon and to suppress the plug-to-plug bridge phenomenon caused by residual plug material in the step generated during planarization. It was found through the examples.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.
Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, it will be understood by those of ordinary skill in the art that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 바와 같은 본 발명은, 콘택 형성 공정시 SAC 페일을 방지할 수 있으며, 플러그 간의 브릿지 발생을 억제할 수 있어, 반도체 소자의 수율을 향상시키는 효과가 있다.As described above, the present invention can prevent SAC failing during the contact forming process, can suppress the occurrence of bridges between plugs, and improve the yield of semiconductor devices.

Claims (10)

전도막이 형성된 기판 상에 그 상부에 하드마스크를 구비하는 이웃하는 복수의 도전패턴을 형성하는 단계;Forming a plurality of neighboring conductive patterns having a hard mask on the substrate on which the conductive film is formed; 상기 도전패턴 양측벽에 스페이서를 형성하는 단계;Forming spacers on both sidewalls of the conductive pattern; 상기 도전패턴이 형성된 프로파일을 따라 식각정지막을 형성하는 단계;Forming an etch stop layer along the profile in which the conductive pattern is formed; 상기 식각정지막 상에 층간절연막을 형성하는 단계;Forming an interlayer insulating film on the etch stop film; 상기 식각정지막이 노출되는 타겟으로 평탄화 공정을 실시하는 단계;Performing a planarization process on a target to which the etch stop layer is exposed; 상기 평탄화 공정시 발생한 단차를 매립하여 평탄한 표면을 제공하는 버퍼 절연막을 상기 기판 전면에 형성하는 단계;Forming a buffer insulating film on the entire surface of the substrate to fill a step generated during the planarization process to provide a flat surface; 상기 버퍼 절연막과 상기 층간절연막 및 상기 식각정지막을 선택적으로 식각하여 상기 전도막을 노출시키는 콘택홀을 형성하는 단계; Selectively etching the buffer insulating layer, the interlayer insulating layer, and the etch stop layer to form a contact hole exposing the conductive layer; 상기 콘택홀을 매립하도록 플러그용 전도막을 형성하는 단계; 및Forming a conductive film for plug to fill the contact hole; And 상기 하드마스크가 노출되는 타겟으로 평탄화 공정을 실시하여 상기 콘택홀에 매립되어 아이솔레이션된 플러그를 형성하는 단계Performing a planarization process on the target to which the hard mask is exposed to form an isolated plug embedded in the contact hole 를 포함하는 반도체 소자의 콘택 플러그 형성 방법.Contact plug forming method of a semiconductor device comprising a. 청구항 2은(는) 설정등록료 납부시 포기되었습니다.Claim 2 has been abandoned due to the setting registration fee. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막 및 상기 버퍼 절연막은 산화막을 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.And said interlayer insulating film and said buffer insulating film comprise an oxide film. 청구항 3은(는) 설정등록료 납부시 포기되었습니다.Claim 3 was abandoned when the setup registration fee was paid. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 평탄화 공정을 실시하는 단계에서 전면식각 또는 화학기계적연마 공정을 이용하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.A method of forming a contact plug of a semiconductor device, wherein the planarization process uses an entire surface etching process or a chemical mechanical polishing process. 청구항 4은(는) 설정등록료 납부시 포기되었습니다.Claim 4 was abandoned when the registration fee was paid. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 콘택홀을 형성하는 단계는,Forming the contact hole, 평탄한 표면을 갖는 상기 버퍼 절연막 상에 콘택홀 형성을 위한 마스크 패턴을 형성하는 단계와,Forming a mask pattern for forming a contact hole on the buffer insulating layer having a flat surface; 상기 마스크 패턴을 식각마스크로 상기 버퍼 절연막과 상기 층간절연막을 차례로 식각하여 상기 식각정지막을 노출시키는 콘택홀을 형성하는 단계와,Forming a contact hole exposing the etch stop layer by sequentially etching the buffer insulating layer and the interlayer insulating layer using the mask pattern as an etch mask; 상기 콘택홀 아래 식각정지막을 제거하여 상기 전도막을 노출시키는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.And removing the etch stop layer under the contact hole to expose the conductive layer. 청구항 5은(는) 설정등록료 납부시 포기되었습니다.Claim 5 was abandoned upon payment of a set-up fee. 제 4 항에 있어서,The method of claim 4, wherein 상기 콘택홀을 형성하는 단계에서,In the forming of the contact hole, CxFy(x,y는 1 ∼ 10)를 주식각가스로 하며, 여기에 폴리머를 발생시키기 위 한 가스 즉, CH2F2, C3HF5 또는 CHF3 중 어느 하나의 가스를 첨가하며, 이 때 캐리어 가스로 He, Ne, Ar 또는 Xe 중 어느 하나의 비활성 가스를 사용하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.CxFy (x, y is 1 to 10) as a stock corner gas, and a gas for generating a polymer, ie, one of CH 2 F 2 , C 3 HF 5, or CHF 3 , is added thereto. When the inert gas of any one of He, Ne, Ar or Xe is used as a carrier gas. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.Claim 6 was abandoned when the registration fee was paid. 제 5 항에 있어서,The method of claim 5, 상기 마스크 패턴을 형성하는 단계에서, ArF 또는 F2의 노광원을 이용한 포토리소그라피 공정을 이용하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.In the forming of the mask pattern, a photolithography process using an exposure source of ArF or F 2 is used. 청구항 7은(는) 설정등록료 납부시 포기되었습니다.Claim 7 was abandoned upon payment of a set-up fee. 제 4 항에 있어서,The method of claim 4, wherein 상기 마스크 패턴은,The mask pattern, 포토레지스트 패턴, 포토레지스트 패턴/희생 하드마스크 또는 희생 하드마스크 중 어느 하나의 구조를 갖는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.A method of forming a contact plug of a semiconductor device, comprising: a photoresist pattern, a photoresist pattern / sacrificial hardmask, or a sacrificial hardmask. 청구항 8은(는) 설정등록료 납부시 포기되었습니다.Claim 8 was abandoned when the registration fee was paid. 제 7 항에 있어서,The method of claim 7, wherein 상기 희생 하드마스크는 질화막, 텅스텐막 또는 폴리실리콘막 중 어느 하나를 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.The sacrificial hard mask may include any one of a nitride film, a tungsten film, and a polysilicon film. 청구항 9은(는) 설정등록료 납부시 포기되었습니다.Claim 9 was abandoned upon payment of a set-up fee. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 플러그용 전도막은 폴리실리콘막을 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.And the plug conductive film comprises a polysilicon film. 청구항 10은(는) 설정등록료 납부시 포기되었습니다.Claim 10 was abandoned upon payment of a setup registration fee. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 복수의 도전패턴은, 게이트전극 패턴, 비트라인 또는 금속배선 중 어느 하나를 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성 방법.The plurality of conductive patterns may include at least one of a gate electrode pattern, a bit line, and a metal wiring.
KR1020040041524A 2004-06-07 2004-06-07 Method of forming contact plug of semiconductor device KR101062833B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040041524A KR101062833B1 (en) 2004-06-07 2004-06-07 Method of forming contact plug of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040041524A KR101062833B1 (en) 2004-06-07 2004-06-07 Method of forming contact plug of semiconductor device

Publications (2)

Publication Number Publication Date
KR20050116490A KR20050116490A (en) 2005-12-13
KR101062833B1 true KR101062833B1 (en) 2011-09-07

Family

ID=37290093

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040041524A KR101062833B1 (en) 2004-06-07 2004-06-07 Method of forming contact plug of semiconductor device

Country Status (1)

Country Link
KR (1) KR101062833B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100743651B1 (en) 2006-05-24 2007-07-27 주식회사 하이닉스반도체 Method for forming contact of semiconductor device
KR100897249B1 (en) * 2006-11-10 2009-05-14 주식회사 하이닉스반도체 Method for forming contact hole in semiconductor device
KR100905173B1 (en) * 2007-09-28 2009-06-29 주식회사 하이닉스반도체 Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20050116490A (en) 2005-12-13

Similar Documents

Publication Publication Date Title
US7196004B2 (en) Method and fabricating semiconductor device
US20040253811A1 (en) Method for fabricating semiconductor device
KR100652791B1 (en) Method for fabrication of semiconductor device
KR100611776B1 (en) Method for fabrication of semiconductor device
KR100744672B1 (en) Method for fabricating contact hole in semiconductor device
JP2004015058A (en) Manufacturing method of semiconductor device
KR100672780B1 (en) Semiconductor device and method for fabrication thereof
US20050136642A1 (en) Method for fabricating semiconductor device
US20080160759A1 (en) Method for fabricating landing plug contact in semiconductor device
KR100616499B1 (en) Method for fabrication of semiconductor device
KR100685677B1 (en) Method for fabrication of semiconductor device
KR101062833B1 (en) Method of forming contact plug of semiconductor device
KR101043734B1 (en) Method for fabrication of semiconductor device
KR20060023004A (en) Forming method of contact plug in semiconductor device
KR20050116483A (en) Forming method of contact hole in semiconductor device
KR100701425B1 (en) Method for fabrication of semiconductor device
KR100716651B1 (en) Method for fabrication of semiconductor device
KR20060029007A (en) Method for fabrication of semiconductor device
KR20060010894A (en) Forming method of contact plug in semiconductor device
KR100670681B1 (en) Method for manufacturing semiconductor device
KR20060036705A (en) Method for fabrication of semiconductor device
KR20050116487A (en) Method for fabrication of semiconductor device
KR20050063410A (en) Method for fabrication of semiconductor device
KR20060038589A (en) Forming method of plug in semiconductor device
KR20060095324A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee