KR20050106481A - 이중 도핑된 게이트 애플리케이션에서 프로파일 제어 및n/p 로딩을 개선하는 방법 - Google Patents

이중 도핑된 게이트 애플리케이션에서 프로파일 제어 및n/p 로딩을 개선하는 방법 Download PDF

Info

Publication number
KR20050106481A
KR20050106481A KR1020057016362A KR20057016362A KR20050106481A KR 20050106481 A KR20050106481 A KR 20050106481A KR 1020057016362 A KR1020057016362 A KR 1020057016362A KR 20057016362 A KR20057016362 A KR 20057016362A KR 20050106481 A KR20050106481 A KR 20050106481A
Authority
KR
South Korea
Prior art keywords
etching
silicon
containing gas
etch
gas
Prior art date
Application number
KR1020057016362A
Other languages
English (en)
Other versions
KR101155841B1 (ko
Inventor
퓌포 헬렌 델
프랭크 린
크리스 리
바히드 바헤디
토마스 에이 캠프
앨런 제이 밀러
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/376,227 external-priority patent/US7098141B1/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20050106481A publication Critical patent/KR20050106481A/ko
Application granted granted Critical
Publication of KR101155841B1 publication Critical patent/KR101155841B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

플라즈마 에칭 챔버 내에서 폴리실리콘 게이트 구조를 에칭하는 방법이 제공된다. 상기 방법은 에칭될 폴리실리콘 막을 보호하는 패턴을 정의하는 단계로 시작한다. 다음으로, 플라즈마가 발생된다. 다음으로, 보호되지 않은 실질적으로 모든 폴리실리콘 막이 에칭된다. 다음으로, 실리콘 함유 가스가 주입되고 실리콘 함유 가스가 주입되는 동안 폴리실리콘 막의 잔류물이 에칭된다. 에칭 프로세스 동안에 실리콘 함유 가스를 주입하도록 구성된 에칭 챔버가 또한 제공된다.

Description

이중 도핑된 게이트 애플리케이션에서 프로파일 제어 및 N/P 로딩을 개선하는 방법{METHOD TO IMPROVE PROFILE CONTROL AND N/P LOADING IN DUAL DOPED GATE APPLICATIONS}
본 발명은 반도체 소자의 제조에서 얕은 트렌치 (shallow trench) 및/또는 게이트 구조를 플라즈마 에칭하는 개선된 방법에 관한 것이다.
집적 회로와 같은 반도체 기반 제품의 제조 동안, 에칭 및/또는 증착 단계는 반도체 기판 상에 재료층을 형성하거나 제거하는데 사용될 수도 있다. 종래 에칭 과정은 물질층의 플라즈마 에칭을 달성하기 위해 플라즈마 상태로 에너지화된 하나 이상의 에칭 가스를 포함한다. 그러한 플라즈마 에칭은 집적 회로에서 개별 트랜지스터의 얕은 트렌치 분리 (shallow trench isolation) 를 제공하기 위해 사용되어 왔다. 트렌치를 에칭한 후, 트렌치는 유전 물질로 채워진다. 공동으로 양도된 미국 특허 제 6,218,309 호 및 제 6,287,794 호는 얕은 트렌치 플라즈마 에칭 공정을 개시한다.
트랜지스터의 제조시, 포토레지스트 (photoresist) 층의 패턴으로 하부 하드마스크층까지 에칭하고, 포토레지스트층을 제거한 다음, 하드마스크의 패턴으로 폴리실리콘층 아래로 게이트 산화물층까지 에칭하는 것이 종래의 기술이다. 예를 들어, 미국 특허 제 6,283,131 호를 참조한다. 폴리실리콘 에칭, 예를 들어, 반응성 이온 에칭 공정 동안, 노출된 폴리실리콘층을 수직하게 에칭하면서 폴리실리콘 라인들을 수평하게 패시베이팅 (passivating) 함으로써 수직한 프로파일이 달성된다. 에칭 공정 동안 충분하지 못한 패시베이션 (passivation) 은 폴리실리콘 라인의 바닥에서의 노칭 (notching) 뿐만 아니라 굽은 또는 오목한 폴리실리콘 라인, 마스크/폴리실리콘 계면에서의 언더컷 (undercut) 을 초래할 수도 있다. 동시에, 과도한 패시베이션은 경사진 프로파일과 폴리실리콘 라인의 베이스에서의 풋 (foot) 을 초래할 수도 있다.
또한, 상이한 타입의 도핑 영역이 기판 상에 공존하는 이중 도핑된 (dual doped) 애플리케이션의 경우, 상이하게 도핑된 영역의 에칭 작용 또한 상이하다. 따라서, 이는 상이하게 도핑된 영역들 사이의 임계 치수 차이를 유발하는 프로파일 차이를 초래할 수도 있다. 또한, 에칭 레이트 마이크로-로딩 (etch rate micro-loading) 도 발생할 수 있으며, 그에 의해 게이트 완전성 (gate integrity) 에 부정적인 영향을 준다.
전술한 관점에서 볼 때, 노치 (notch)가 없는 에칭 프로파일을 보장하기 위한 적절한 패시베이션 레벨을 제공하는 방법 및 장치가 필요하다. 또한, 이중 도핑된 실리콘 에칭 프로세스에 대한 에칭 레이트 마이크로 로딩 및 프로파일 차이를 감소시킬 필요성이 있다.
발명의 요약
일반적으로, 본 발명은 노칭을 방지하기 위하여 에칭 동작을 수행하는 동안 패시베이션 강화 가스를 도입함으로써 이러한 필요를 충족시킨다. 본 발명은 장치, 시스템, 디바이스 또는 방법을 포함하여 여러 가지 방법으로 구현될 수 있다는 것이 이해될 것이다. 본 발명의 몇몇 창조적인 실시형태를 이하 설명한다.
실리콘층에서 얕은 트렌치를 에칭하는 방법은 플라즈마 에칭 챔버 내의 기판 지지부 상에 단일 반도체 기판을 지지하는 단계 및 실리콘 함유 가스를 포함하는 에칭 가스를 사용하여 반도체 기판 상의 실리콘층에 대하여 0.5 ㎛ 미만의 폭과 1 ㎛ 미만의 깊이를 갖는 얕은 트렌치를 플라즈마 에칭하는 단계를 포함한다. 실리콘 함유 가스는 반도체 기판에 걸친 실리콘 증착을 제어함으로써 프로파일 제어 및/또는 임계 치수 제어를 강화하고 및/또는 상부 및/또는 바닥 라운딩 (rounding) 을 제공하는데 사용될 수 있다.
일 실시형태에 따라, 실리콘 트렌치층의 상부 영역의 에칭 동안에 실리콘 함유 가스는 제 1 플로우 레이트 (flow rate) 로 챔버에 공급되며, 실리콘 트렌치층의 중간 영역의 에칭 동안에 실리콘 함유 가스는 제 2 플로우 레이트로 챔버에 공급되며, 실리콘 트렌치층의 하부 영역의 에칭 동안에 실리콘 함유 가스는 제 3 플로우 레이트로 챔버에 공급되며, 제 1 및 제 3 플로우 레이트는 제 2 플로우 레이트보다 크다. 제 1 플로우 레이트는 트렌치의 상부 라운딩을 제공하는데 바람직하게 효과적이며 및/또는 제 3 플로우 레이트는 트렌치의 바닥 라운딩을 제공하는 데 바람직하게 효과적이다. 바람직하게 상부 영역은 30% 미만의 트렌치 깊이를 포함하고 및/또는 바닥 영역은 30% 미만의 트렌치 깊이를 포함하며 및/또는 트렌치의 측벽은 70 내지 89°의 각도로 경사져 있다. 일 실시형태에서, 제 1 플로우 레이트는 80°미만의 각도로 트렌치의 상부 영역의 측벽을 경사지게 하는데 효과적이며, 제 2 플로우 레이트는 80°보다 큰 각도로 트렌치의 중간 영역의 측벽을 경사지게 하는데 효과적이며, 제 3 플로우 레이트는 80°미만의 각도로 트렌치의 하부의 측벽을 경사지게 하는데 효과적이다. 예를 들어, 제 1 플로우 레이트는 72 내지 82°의 각도로 트렌치의 상부 영역의 측벽을 경사지게 하는데 효과적이며, 제 2 플로우 레이트는 82 내지 88°의 각도로 트렌치의 중간 영역의 측벽을 경사지게 하는데 효과적이며, 제 3 플로우 레이트는 72 내지 82°의 각도로 트렌치의 하부의 측벽을 경사지게 하는데 효과적이다.
실리콘 함유 가스는 SiCl4, SiBr4, CH3SiH3, HSiCl3, Si2H6, SiF4, SiH2Cl2, SiH4 또는 그들의 혼합물을 포함할 수 있다. 에칭 가스는 또한 Cl2, HBr, CxFy, CxFyHz, SF6, HCl 또는 그들의 혼합물을 더 포함할 수도 있다. 바람직한 실시형태에서, Cl2 는 5 내지 500 sccm의 플로우 레이트로 챔버에 공급되며, 실리콘 함유 에칭 가스는 1 내지 100 sccm의 플로우 레이트로 챔버에 공급된 SiCl4 를 포함한다. 다른 실시형태에서, 에칭 가스는 Cl2, O2, HBr, He, CF4, HCl, Ar, N2, SF6 또는 그들의 혼합물을 포함한다. 바람직하게는, 에칭 가스는 무선 주파수 (radio frequency) 에너지를 플라즈마 챔버 내로 유도결합함으로써 플라즈마 상태로 에너지화되거나 및/또는 챔버는 100mTorr 미만의 압력에 있다.
실리콘층은 실리콘 질화물 마스크층과 같은 마스크 하부의 단결정 실리콘 웨이퍼의 일부일 수 있다. 다른 방법으로, 실리콘층은 에피택셜 층, 변형된 (strained) 실리콘층 또는 단결정 실리콘 웨이퍼와 같은 기판 상의 실리콘-게르마늄층일 수도 있다.
반도체 기판 상에 게이트 구조를 에칭하는 방법은 플라즈마 에칭 챔버 내의 기판 지지부 상에 반도체 기판을 지지하는 단계 및 실리콘 함유 가스를 포함하는 에칭 가스를 사용하여 반도체 기판 상의 실리콘층 내에 게이트 구조를 플라즈마 에칭하는 단계를 포함한다.
게이트 에칭에서, 에칭 가스는 HBr, O2, Cl2, He, CF4, N2, NF3, Ar 또는 그들의 혼합물을 포함 및/또는 실리콘 함유 가스는 SiCl4, SiBr4, CH3SiH3, Si2H6, SiF4, SiH2Cl2, HSiCl3, SiH4 또는 그 혼합물을 포함할 수 있다. 게이트 적층은 바람직하게 다결정 실리콘층이 하부 게이트 산화막과, 실리콘 질화물 마스크층 또는 포토레지스트와 같은 상부 하드 마스크층 또는 소프트 마스크층 사이에 있는 실리콘 웨이퍼 상에 한 층의 다결정 실리콘 (폴리실리콘) 을 포함한다. 일 실시형태에서, 폴리실리콘층의 상부는 실리콘 함유 가스 없이 에칭되고 폴리실리콘층의 하부는 1 내지 100 sccm의 플로우 레이트로 실리콘 함유 가스를 공급하면서 에칭된다. 바람직하게는, 에칭 가스는 무선 주파수 에너지를 플라즈마 챔버 내로 유도결합 함으로써 플라즈마 상태로 에너지화되고 및/또는 챔버 압력은 100 mTorr 미만이다. 게이트 에칭 동안, 실리콘 함유 가스는 반도체 기판에 걸친 실리콘 증착을 제어함으로써 프로파일 제어 및/또는 임계 치수 제어를 강화하는 데 사용될 수 있다. 바람직한 공정에서, 게이트 구조는 3단계로 에칭되며, 제 1 단계 동안에 에칭 가스는 Cl2, HBr, O2 및 CF4 를 포함하고, 제 2 단계 동안에 에칭 가스는 HBr 및 O2 를 포함하고, 제 3 단계 동안에 에칭 가스는 HBr, O2 및 He를 포함하며, HBr은 제 3 단계 보다 제 2 단계 동안 더 높은 플로우 레이트로 챔버에 공급된다.
일 실시형태에서, 플라즈마 에칭 챔버 내의 폴리실리콘 게이트 구조를 에칭하는 방법이 제공된다. 그 방법은 에칭되는 폴리실리콘 막을 보호하는 패턴을 정의하는 것으로 시작한다. 그 다음, 플라즈마를 발생시킨다. 그 다음, 보호되지 않는 실질적으로 모든 폴리실리콘 막을 에칭한다. 그 다음, 실리콘 함유 가스를 주입하고 폴리실리콘 막의 잔류물을 실리콘 함유 가스의 주입 동안 에칭한다.
다른 실시형태에서, 기판에 상이하게 도핑된 물질 사이의 에칭 레이트 마이크로 로딩을 감소시키는 방법이 제공된다. 그 방법은 챔버 내에서 플라즈마를 스트라이킹 (striking) 하는 것으로 시작한다. 그 다음, 기판을 에칭한다. 그 다음, 패시베이션 층이 에칭으로부터 발생된 부산물로부터 형성된다. 그 다음, 패시베이션 층을 강화시킨다.
또 다른 실시형태에서, 반도체 프로세싱 시스템이 제공된다. 반도체 프로세싱 시스템은 챔버를 포함한다. 챔버는 가스 주입구, 챔버 내의 플라즈마를 스트라이킹하도록 구성되는 상부 전극 및 기판을 고정하기 위한 지지부를 포함한다. 에칭 동작 동안에 패시베이션이 고갈되는 상태를 검출하도록 구성된 제어기가 제공된다. 제어기는 패시베이션 고갈 상태의 검출에 응답하여 에칭 동작 동안에 가스 주입구를 통해 패시베이션 강화 가스를 주입하도록 더 구성된다.
또 다른 실시형태에서, 에칭 공정 동안에 폴리실리콘 대 산화물 선택비를 강화하기 위한 방법이 제공된다. 그 방법은 챔버 내에 플라즈마 에칭될 기판을 제공하는 것으로 시작한다. 그 다음, 플라즈마는 챔버 내에서 스트라이킹된다. 그 다음, 기판이 에칭됨에 따라 실리콘 함유 산화물의 박층이 게이트 산화물 상에 증착된다.
전술한 일반적인 설명 및 다음의 상세한 설명은 본 발명의 예시 및 설명일 뿐이며 제한적이지 않다는 것을 이해할 수 있다.
도면의 간단한 설명
본 명세서에 포함되고 본 명세서의 일부를 구성하는 첨부한 도면은 본 발명의 예시적인 실시형태를 도시하고 상세한 설명과 함께 본 발명의 원리를 설명하는 역할을 한다.
도 1은 얕은 트렌치 분리 피쳐 (shallow trench isolation feature) 를 형성하기 이전의 실리콘 기판 상의 다수의 층들의 개략도이다.
도 2는 포토레지스트 및 BARC 층이 제거되고 실리콘 질화물 및 패드 산화물 층들이 에칭된 얕은 트렌치 에칭 공정의 개략도이다.
도 3은 실리콘 기판 내로 에칭된 얕은 트렌치 분리 피쳐의 개략도이다.
도 4는 굽은 프로파일 및 서브트렌치된 바닥 (subtrenched bottom) 을 갖는 얕은 트렌치 분리 피쳐의 현미경 사진이다.
도 5는 실리콘 함유 가스로 에칭된 얕은 트렌치 분리 피쳐의 현미경 사진이다.
도 6은 상부 및 바닥 라운딩을 포함하는 얕은 트렌치 분리 피쳐의 현미경 사진이다.
도 7은 상부에 다수의 층들을 갖는 게이트 에칭을 수행하기 이전의 실리콘 기판의 개략도이다.
도 8은 상부에 실리콘 질화물 및 폴리실리콘 층들을 갖는, 게이트 산화물까지 에칭된 실리콘 기판의 개략도이다.
도 9a는 과에칭 (over etch) 단계 동안 에칭 가스 혼합물 내에 실리콘 함유 가스를 사용하지 않은 에칭 프로파일의 단순화된 개략도이다.
도 9b는 CD (임계치수) 제어와 함께 에칭된 게이트 피쳐의 현미경 사진이다.
도 10은 상이한 도핑 영역에 의해 야기되는 에칭 레이트 차이를 감소시키기 위해 이용된, 실리콘 함유 가스로 에칭된 이중 도핑된 게이트 구조를 도시한 단순화된 단면 개략도이다.
도 11은 과에칭 공정 동안에 실리콘 함유 가스를 제공하도록 구성된 에칭 챔버의 단순화된 개략도이다.
바람직한 실시형태의 상세한 설명
본 발명의 몇몇 예시적인 실시형태를 첨부한 도면을 참조하여 상세하게 설명한다. 다음의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 다수의 구체적인 상세사항을 설명한다. 그러나, 본 발명은 이 구체적인 상세사항의 일부 또는 전부 없이 수행될 수도 있다는 것이 당업자에게 이해될 것이다. 다른 예에서, 널리 공지된 공정 동작은 본 발명을 불필요하게 불명료하게 하는 것을 방지하기 위해서 상세하게 설명하지 않았다.
많은 플라즈마 에칭 애플리케이션은 바람직한 피쳐 프로파일 (feature profile) 을 획득하기 위한 패시베이션층의 발생에 의존한다. 프로파일 제어를 위한 1차 메커니즘은 에칭 및 증착 반응의 균형을 포함한다. 일반적으로 에칭 반응은 입력 전력, 압력 및 가스 플로우와 같은 반응 챔버 파라미터에 의해 직접적으로 제어된다. 실리콘 웨이퍼의 플라즈마 에칭시, 에칭 반응 생성물은 증착 메커니즘을 간접적으로 제어하는 결과를 갖는 1차 증착 소스이다.
얕은 트렌치와 게이트 애플리케이션에 대해, 다양한 에칭 가스 화학이 사용된다. 예를 들어, HBr-O2 에칭 가스 화학을 사용할 때, 패시베이션층은 1차적으로 SixBryOz 로 구성된다. Cl2-O2 에칭 가스 화학에 대해, 패시베이션층은 1차적으로 SixClyOz 로 구성된다. 패시베이션층의 다른 구성성분은 N, C, H 및 F를 포함할 수 있다. 더욱이, 실리콘 웨이퍼 및/또는 석영 부품과 같은 챔버 재료의 에칭의 결과에 의해, 휘발성 실리콘 에칭 부산물이 패시베이션층에 포함된다.
상기 설명한 바와 같이, 실리콘 웨이퍼와 같은 실리콘 소스 및/또는 챔버 재료의 에칭에 의해 실리콘이 패시베이션층으로 포함될 수 있다. 그러한 실리콘 소스는 에칭 가스 화학에 의해 직접적으로 제어되지 않는 2차 생성물이다. 또한, 휘발 실리콘 에칭 부산물이 웨이퍼 표면으로부터 진공 배출 포트로 이동됨에 따라, 웨이퍼 표면 상에 실리콘 함유 부산물이 증착되는 한정된 가능성이 존재한다. 이는 웨이퍼에 걸쳐 불균일한 실리콘 부산물 농도를 초래하며, 에칭된 피쳐 프로파일과 임계 치수의 불균일성을 초래한다.
많은 얕은 트렌치 애플리케이션은 상부 및/또는 바닥 라운딩을 필요로 한다. 미국 특허 제 5,807,789 호는 경사진 프로파일 및 둥글어진 코너를 갖는 얕은 트렌치를 개시한다. 라운딩을 위한 1차 메커니즘은 재증착 부산물을 생성함에 의한 것이다. 얕은 트렌치 분리 영역의 상부 라운딩을 위한 폴리머 스페이서의 사용이 미국 특허 제 5,801,083 호에 개시된다. 공동으로 양도된 미국 특허 제 6,218,309 호 및 제 6,287,974 호는 얕은 트렌치 분리 피쳐의 상부 라운딩을 개시한다. 일 실시형태에 따라, 실리콘층에서의 얕은 트렌치를 에칭하는 방법은 플라즈마 에칭 챔버 내에서 기판 지지부 상의 단일 반도체 기판을 지지하는 단계 및 실리콘 함유 가스를 포함하는 에칭 가스를 사용하여 반도체 기판 상의 실리콘층에서 0.5 ㎛ 미만의 폭과 1 ㎛ 미만의 깊이를 갖는 얕은 트렌치를 플라즈마 에칭하는 단계를 포함한다.
플라즈마는 다양한 타입의 플라즈마 반응기에서 생성될 수도 있다. 그러한 플라즈마 반응기는 통상적으로 중간 내지 높은 밀도의 플라즈마를 생성하기 위하여 RF 에너지, 마이크로파 에너지, 자기장 등을 사용하는 에너지 소스들을 갖는다. 예를 들어, 고밀도 플라즈마는 램 리서치 코포레이션으로부터 입수 가능하며 또한 유도결합 플라즈마 반응기라고도 불리는 변환 결합 플라즈마 (transformer coupled plasma) (TCPTM), 전자 시클로트론 공진 (electron-cyclotron resonance) (ECR) 플라즈마 반응기, 헬리콘 (helicon) 플라즈마 반응기 등에서 생성될 수 있다. 고밀도 플라즈마를 생성할 수 있는 고 플로우 플라즈마 반응기의 일례가 공동 소유의 미국 특허 제 5,820,261 호에 개시된다. 또한, 플라즈마는 공동 소유의 미국 특허 제 6,090,304 호에 기술된 이중 주파수 플라즈마 에칭 반응기와 같은 평행 플레이트 에칭 반응기에서 생성될 수 있다.
프로세스는 바람직한 진공 압력으로 유지된 유도결합된 플라즈마 반응기에서 반응기의 벽의 출구에 연결된 진공 펌프에 의해 수행될 수도 있다. 가스 공급부로부터 유전체 윈도우 하부 주변을 연장하는 공간으로 가스를 공급하여 샤워헤드 또는 주입기 장치에 에칭가스를 공급할 수 있다. RF 에너지를 RF 소스로부터 반응기 최상부의 유전체 윈도우 외부를 1회 이상 회전하는 평면 나선형 코일 (planar spiral coil) 과 같은 외부 RF 안테나로 공급함으로써 반응기 내에서 고밀도 플라즈마를 생성할 수 있다. 플라즈마 발생 소스는 반응기의 상부 종단상에 진공 밀폐 방식으로 이동가능하게 탑재된 모듈의 탑재 장치의 일부가 될 수 있다.
웨이퍼는 반응기 내에서 반응기의 측벽으로부터의 모듈의 탑재 장치에 의해 이동가능하게 지지된 칸티레버 척 장치와 같은 기판 지지부 상에 지지될 수도 있다. 그러한 기판 지지부는 칸티레버 방식으로 마운트된 지지 암의 일 종단에 있으므로 전체 기판 지지부/지지암 어셈블리는 어셈블리를 반응기의 측벽내의 개구부를 통해 통과시킴으로써 반응기로부터 제거될 수 있다. 기판 지지부는 정전기 척 (ESC) 과 같은 척킹 장치를 포함할 수도 있으며, 기판은 유전 포커스링에 의해 둘러싸여질 수 있다. 척은 에칭 프로세스 동안에 RF 바이어스를 기판에 적용하기 위한 RF 바이어싱 전극을 포함할 수도 있다. 가스 공급부에 의해 공급되는 에칭 가스는 윈도우와 하부 가스 분배 플레이트 (GDP) 사이의 채널을 통해 흐를 수도 있으며 GDP 내의 가스 출구를 통해 챔버의 내부로 들어간다. 반응기는 또한 GDP로부터의 가열된 라이너 연장부를 포함할 수도 있다.
프로세스는 반응기의 벽의 출구에 연결된 진공 펌프에 의해 바람직한 진공 압력으로 유지되는 내부를 포함하는 평행 플레이트 플라즈마 반응기에서 수행될 수도 있다. 에칭 가스는 가스 공급부로부터 가스를 공급함으로써 샤워헤드 전극에 공급될 수 있으며, 중간 밀도 플라즈마는 하나 이상의 RF 소스로부터의 RF 에너지를 샤워헤드 전극 및/또는 바닥 전극에 공급함으로써 반응기에서 발생될 수도 있다. 다른 방법으로, 샤워헤드 전극은 전기적으로 접지될 수도 있으며 상이한 2개의 주파수에서의 RF 에너지는 바닥 전극에 공급될 수 있다.
다양한 가스들의 플로우 레이트는 플라즈마 반응기의 타입, 전력 설정, 반응기에서의 진공 압력, 플라즈마 소스의 분해 레이트 등과 같은 요인들에 의존할 것이라는 것이 당업자에게 명백할 것이다.
반응기 압력은 반응기에서 플라즈마를 유지하기에 적절한 레벨로 유지되는 것이 바람직하다. 일반적으로, 너무 낮은 반응기 압력은 플라즈마 소멸을 초래할 수도 있으며, 반면 고밀도 에칭 반응기에서는 너무 높은 반응기 압력은 에칭 정지 문제를 초래할 수 있다. 고밀도 플라즈마 반응기에 대해, 반응기는 바람직하게 100 mTorr 미만이다. 중간 밀도 플라즈마 반응기에 대해, 반응기는 바람직하게 30 내지 100 mTorr의 압력이며, 더욱 바람직하게는 50 내지 100 mTorr이다. 에칭을 수행하는 반도체 기판에서의 플라즈마 감금 (confinement) 으로 인하여, 기판 표면에서의 진공 압력은 반응기에 대한 진공 압력 설정보다 높을 수도 있다.
에칭중인 반도체 기판을 지지하는 기판 지지부는 바람직하게 기판 상의 임의의 포토레지스트의 버닝 (burning) 및 소망하지 않는 반응 가스 라디컬의 생성과 같은 해로운 부반응 (side reaction) 을 방지하기에 충분하도록 기판을 냉각시킨다. 고밀도 및 중간 고밀도 플라즈마 반응기에서, 기판 지지부를 -10℃ 내지 +80℃의 온도로 냉각하기에 충분하다. 기판 지지부는 그 프로세싱 동안에 기판에 RF 바이어스를 공급하는 바닥 전극과 기판을 클램핑하기 위한 ESC를 포함할 수도 있다. 예를 들어, 기판은 정전기적으로 클램핑되고 웨이퍼와 ESC의 상부 표면 사이에서 바람직한 압력으로 헬륨 (He) 을 공급함으로써 냉각되는 실리콘 웨이퍼를 포함할 수 있다. 웨이퍼를 바람직한 온도로 유지하기 위해, He은 웨이퍼와 척 사이의 공간에서 10 내지 30 Torr의 압력으로 유지될 수도 있다.
도 1 내지 도 3은 얕은 트렌치가 실리콘층 내부로 에칭될 수도 있는 방법의 개략적인 표시를 나타낸다. 도 1에 도시한 바와 같이, 실리콘 기판 (10) 은 상부에 100 Å 패드 산화막 (12), 1500 Å 두께의 실리콘 질화막층 (14), 600 Å 두께의 바닥 반사방지코팅 (BARC) (16) 및 이전에 패터닝되어 개구부 (20) 를 제공하는 3200 Å 두께의 포토레지스트층 (18) 을 포함한다. 실리콘 웨이퍼 상에 얕은 트렌치를 에칭 시, 포토레지스트 (18) 는 소망하는 트렌치 위치에 대응하는 많은 개구부 (20) 을 포함할 것이다. 실리콘 질화막층 (14) 는 개구부 (20) 의 위치에서 개구되어 패터닝된 하드 마스크를 형성한다.
하드마스크 (14) 개구 시, BARC층 (16) 은 플라즈마 에칭으로 개구된다. 예시적인 BARC 개구 단계에서, 챔버는 5 mTorr의 진공 압력일 수 있으며, 무선 주파수 에너지를 챔버로 유도결합하는 안테나가 350 Watts로 설정될 수 있다. 기판 지지부는 전극에 88 Watts의 전력을 인가함으로써 RF 바이어스를 제공하는 전극을 포함할 수도 있다. BARC는 웨이퍼의 온도를 약 60℃로 유지하면서 50 sccm의 CF4로 60초 동안 플라즈마 에칭을 사용하여 개구될 수도 있다. 다음, 실리콘 질화막층 (14) 및 패드 산화막 (12) 은 개구되어 동일한 압력에서 챔버 세트와 함께 개구부 (22) 를 형성하지만 안테나 전력을 1000 Watts까지 상승시킨다. 실리콘 질화막층은 웨이퍼의 온도를 약 60℃로 유지하면서 70 sccm의 CHF3 및 300 sccm의 Ar을 이용하여 44초 동안 에칭될 수 있다. 그 후, 포토레지스트 및 BARC는 10 mTorr의 챔버 압력 및 안테나로의 1000 Watts를 사용하여 제거된다. 포토레지스트는 200 sccm의 O2 를 사용하여 45초 동안 제거될 수도 있다.
제거 단계의 결과로, BARC 및 포토레지스트층이 제거되고 노출된 실리콘 영역은 O2 플라즈마로부터 산화된다. 예시적인 프로세스에서, 챔버는 5 mTorr로 설정되고 350 Watts 전력이 안테나에 공급된다. 산화된 실리콘은 웨이퍼 온도를 약 60 ℃로 유지하면서 50 sccm의 CF4 를 사용하여 7초간 에칭된다. 다음으로, 실리콘 기판이 50 mTorr로 설정된 챔버 압력 및 1000 Watts의 전력이 안테나에 인가된 상태로 에칭될 수도 있다. 바닥 전극은 약 220 Watts의 전력을 공급받을 수도 있으며 웨이퍼 온도를 약 60 ℃ 에서 유지하면서 에칭 가스는 125 sccm Cl2, 14 sccm O2 및 14 sccm N2 를 포함할 수 있다. 바람직한 라운딩 및/또는 프로파일 및/또는 임계치수 제어를 제공하기 위해, SiCl4 와 같은 실리콘 함유 가스가 에칭 가스에 첨가될 수도 있다. 도 3에 도시된 트렌치 구조 (24) 를 형성한 후, 웨이퍼는 2분 HF 침지 후 탈이온화수 스핀 린스 (deionized water spin rinse) 를 사용하여 세정될 수 있다.
도 4는 125 sccm Cl2 를 첨가하고 SiCl4 를 첨가하지 않은 에칭 가스를 사용하여 형성된 트렌치 구조의 현미경사진이다. 도 4에 도시한 바와 같이, 트렌치들은 굽은 프로파일 및 서브트렌치된 바닥을 갖는다. 개선된 임계 치수 (CD) 및 프로파일 제어는 실리콘 함유 가스를 에칭 가스에 첨가함으로써 획득될 수 있다. 도 5는 실리콘 함유 가스가 얕은 트렌치 에칭 동안에 사용될 때 형성된 트렌치 구조의 현미경 사진이다. 도 5에 도시된 트렌치 구조는 75 sccm Cl2 및 25 sccm SiCl4 로 에칭되었다. 도 6은 얕은 트렌치 에칭 동안 실리콘 함유 가스를 사용한 결과로 둥글어진 상부 및 바닥을 갖는 경사진 트렌치의 현미경 사진이다.
도 7 및 도 8은 게이트 에칭의 개략도이다. 도 7에 도시한 바와 같이, 실리콘 웨이퍼 (30) 는 상부에 15 Å 두께의 산화막층 (32), 1500 Å 두께의 폴리실리콘 층 (34), 200 Å 두께의 실리콘 질화막층 (36) 및 2000 Å 두께의 포토레지스트층 (38) 을 포함하는 다수의 층들을 포함하며, 포토레지스트층 (38) 은 패터닝되어 내부에 게이트 에칭의 위치에 대응하는 개구부 (40) 를 포함한다. 배리어층은 실리콘 질화물에 한정되지 않는다는 것을 이해할 수 있다. 예를 들어, 당업자는 게이트 애플리케이션에서의 하드마스크가 실리콘 이산화물 (SiO2) 또는 실리콘 산화질화물 (SiOxNy) 이라는 것을 이해할 것이다. 또한, 베리어층의 두께는 300 Å, 또는 다른 임의의 적절한 두께일 수도 있다. 단일 개구부 (40) 가 도 7에 도시된 반면, 웨이퍼 상의 게이트 구조 에칭 시 게이트의 바람직한 위치에 대응하는 다수의 개구부 (40) 들이 존재할 것이다.
게이트 에칭의 예시적인 프로세스는 다음과 같다. 처음으로, 챔버 압력이 15 mTorr로 설정되고 안테나 전력이 400 Watts로 설정된 채로 트리밍 (trimming) 단계가 수행된다. 트리밍 단계를 위한 에칭 가스는 30 sccm HBr 및 10 sccm O2 를 포함할 수 있다. 그 다음, 실리콘 질화물층 (36) 은 10 sccm CF4 로 설정된 챔버에서 에칭되어 개구부 (40) 에 대응하는 실리콘 질화막층 (36) 에서 개구부를 제공한다. 그 다음, 폴리실리콘은 브레이크쓰루 (breakthrough) 단계, 제 1 메인 에칭, 제 2 메인 에칭 및 과에칭을 포함하는 4단계로 에칭된다. 브레이크쓰루 단계에서, 실리콘의 표면에 존재하는 자연 산화막이 에칭되는데 그 이유는 일부 화학, 예를 들어, HBr은 SiO2 를 용이하게 또는 균일하게 에칭하지 않기 때문이다. CF4 를 포함하는 화학에서, 브레이크쓰루 단계의 사용은 필수적이지 않다는 것이 당업자에게 명백할 것이다. 제 1 메인 에칭에서, 챔버는 10 mTorr로 설정되고 안테나는 800 Watts의 전력으로 설정된다. 에칭 가스는 50 sccm Cl2, 175 sccm HBr, 60 sccm CF4 및 5 sccm O2 를 포함한다. 제 2 메인 에칭에서, 챔버는 30 mTorr 로 설정되고, 안테나는 350 Watts로 설정된다. 제 2 메인 에칭을 위한 에칭 가스는 300 sccm HBr 및 3 sccm O2 를 포함한다. 과에칭에서, 챔버는 80 mTorr로 설정되고 안테나는 500 Watts 전력을 공급받는다. 과에칭에서의 에칭 가스는 130 sccm HBr, 4 sccm O2 및 약 270 sccm He를 포함한다. SiCl4 와 같은 실리콘 함유 가스는 게이트 프로파일 제어 및 웨이퍼 내의 임계치수 제어를 개선하기 위해 제 1 또는 제 2 메인 에칭 및/또는 과에칭에 첨가될 수 있다. 폴리실리콘 에칭 후, 개구부 (42) 는 도 8에 도시한 바와 같이 게이트 산화막 (32) 까지 연장된다.
도 9a는 과에칭 단계 동안에 에칭 가스 혼합물에서 실리콘 함유 가스를 사용하지 않은 에칭 프로파일의 단순화된 개략도이다. 에칭된 게이트 피쳐 (102a 내지 102 c) 는 각각의 에칭 게이트 피쳐의 베이스에서 노치 (100) 를 포함한다. 폴리실리콘 스트링어 (stringer) 가 제거될 때, 즉, 과에칭 단계 동안에 에칭 프로세스의 끝에서 게이트 완전성 (integrity) 을 유지하면서, 과에칭 단계를 수행하는 동안 산화물에 대한 고선택비가 유지되어야 한다. 일반적으로 공지된 바와 같이, 게이트 산화막 피팅은 게이트 산화막, 또는 게이트 재료가 작은 국소화된 영역에서 선택적으로 에칭되어 실리콘 저면의 에칭을 초래함에 의한 현상이며, 그에 의해 구멍 (pit) 을 형성한다.
도 9b는 본 발명의 일 실시형태에 따라 실리콘 함유 가스를 과에칭 프로세스에 첨가함으로써 획득되는 임계 치수 제어로 에칭된 게이트 피쳐의 예시적인 현미경 사진이다. 실리콘 에칭 가스 혼합물 내의 실리콘 함유 가스는 임의의 노칭을 감소시키기 위한 측벽 패시베이션의 양을 증가시킨다. 따라서, 실리콘 에칭 가스의 첨가에 의해 제공된 측벽 패시베이션에 기인한 노치 없는 베이스를 갖는 게이트 피쳐 (102a 내지 102c) 가 도시된다. 일 실시형태에서, 하드 마스크 게이트 애플리케이션에 관해서, 측벽 패시베이션은 주로 Si, O, X가 할로겐 또는 할로겐의 혼합물, 예를 들어, 브롬, 염소, 불소 등인 X-기반 재료로 구성된다. 당업자는 할로겐이 에칭 화학에 독립적일 수도 있다는 것을 이해할 것이다. 여기서, 처음의 2개의 에칭 단계는 에칭 부산물을 포함하는 반응을 통해서 실리콘을 기판으로부터 패시베이션층으로 통합시킨다. 그러나, 폴리실리콘 에칭단계의 끝에서, 과에칭 단계 동안에, 실리콘 기반 부산물들은 에칭될 실리콘 재료의 고갈에 기인하여 고갈된다. 동시에, 에칭 종 (etching species) 의 농도가 증가한다. 그 결과, 과에칭 단계 동안 패시베이션이 거의 발생하지 않으며 라인의 베이스의 기존의 패시베이션도 에칭 종에 의해 어택을 받을 수 있으며 폴리실리콘 라인의 노칭을 초래한다. 그러나, 과에칭 단계 동안 실리콘의 첨가는 에칭되는 실리콘 재료에 의해 미리 공급된 고갈된 실리콘을 대체할 것이다.
도 10은 에칭된 이중 도핑된 게이트 구조를 도시한 단순화된 단면 개략도이다. 여기서, 게이트 (110) 는 n-도핑된 폴리실리콘으로 구성되고, 반면 게이트 (112) 는 p-도핑된 폴리실리콘으로 구성된다. 전체 에칭 프로세스 동안에 실리콘 함유 가스를 첨가하는 것은 패시베이션층의 형성을 강화시키고, 그에 의해 기판 상에 상이하게 도핑된 게이트 구조에 의해 유발되는 차이를 감소시킨다는 것이 이해될 것이다. 에칭 화학이 게이트 산화막에 대해 더욱 선택적으로 될 때, 수평 어택의 양은 게이트 에칭 프로세스의 마지막 단계 동안에 도핑 타입에 따라 상이할 수 있다. 따라서, 실리콘 에칭의 첫번째 단계에서 정의된 프로파일은 마지막 단계에서 변경될 수도 있으며, 이는 다양하게 도핑된 영역, 즉, n/p 프로파일 로딩이라고도 칭하는 영역 사이에서 프로파일과 임계치수의 차이를 초래한다. 따라서, 다른 실시형태에서, 에칭의 마지막 단계, 즉, 과에칭 단계에서의 가스 혼합물 상의 실리콘의 소스의 첨가는 상이한 타입의 도핑된 실리콘 사이의 에칭 레이트 마이크로 로딩을 감소시킨다. 또한, 실리콘 가스는 더 많은 패시베이션을 라인에 부가함으로써 프로파일 정의에서 다양한 타입의 도핑된 실리콘 사이의 수평 에칭 차이의 충격을 감소시킨다.
따라서, 실리콘 에칭 동안 에칭 가스 혼합물에 실리콘 함유 가스를 첨가하는 것은 피쳐의 베이스에서 노칭을 제거할 것이다. 또한, 과에칭 단계 동안 에칭 가스 혼합물 내의 실리콘 함유 가스는 산화막에 대한 선택비를 증가시킬 것이다. 또한, 실리콘 에칭 동안 에칭 가스 혼합물 내의 실리콘 함유 가스는 동일 기판 상에 존재하는 다양한 타입의 도핑된 실리콘 사이의 프로파일 차이를 감소시킬 것이다. 즉, 실리콘 에칭 동안 에칭 가스 혼합물 내의 실리콘 함유 가스의 첨가는 n-도핑된 라인, p-도핑된 라인 또는 도핑되지 않은 라인 사이의 임계치수 바이어스 차이를 감소시킬 것이다.
도 11은 과에칭 프로세스 동안 실리콘 함유 가스를 제공하도록 구성된 에칭 챔버의 단순화된 개략도이다. 에칭 챔버 (120) 는 가스 주입구 (122), 상부 전극 (124), 웨이퍼 또는 반도체 기판 (126) 을 지지하는 정전기 척 (128) 을 포함한다. 에칭 챔버 (120) 는 또한 에칭 챔버를 비우기 위한 펌프와 플로우가 연결될 수도 있는 출구 (130) 를 포함한다. 프로세스 가스 공급부 (132) 는 가스 주입구 (122) 와 플로우 연결된다. 패시베이션 강화 가스, 예를 들어, 상술한 실리콘 함유 가스가 가스 주입구 (122) 를 통해 챔버로 공급될 수도 있다는 것을 이해할 수 있을 것이다. 챔버 (120) 및 프로세스 가스 공급부 (132) 와 연결된 제어기 (134) 는 패시베이션 고갈 상태, 즉, 과에칭 프로세스를 검출하도록 이루어질 수도 있다. 패시베이션 고갈 상태를 검출하는 것에 응답하여, 제어기는 프로세스 가스 공급부 (132) 가 실리콘 함유 가스를 챔버 (120) 로 공급하는 것을 트리거 (trigger) 할 수도 있다. 제어기 (134) 가 임의의 적절한 종점 검출을 통해서 패시베이션 고갈 상태를 검출할 수도 있거나 과에칭 프로세스의 시작이 실리콘 함유 가스의 공급을 트리거할 수도 있다. 또한, 제어기 (134) 는 일 실시형태에서 범용 컴퓨터이다.
실리콘 함유 가스, 예를 들어, SiCl4 의 주입과 관련된 파라미터들이 표 1에 요약되어 있다.
표 1
압력 0.5-200 mTorr
전력 10-5000 W
SiCl4 플로우 0.1-300 sccm
온도 -77℃ 내지 200℃
표 1은 예시일 뿐 제한하려는 것은 아니라는 것을 이해할 수 있을 것이다. 즉, 임의의 적절한 실리콘 함유 가스가 사용될 수도 있다. 또한, 챔버 구성에 따라 파라미터의 범위가 변한다.
일 실시형태에서, SiCl4 또는 다른 적절한 Si 함유 가스가 과에칭 단계의 가스 혼합물에 첨가될 때, 폴리실리콘은 여전히 에칭되지만, 보호 증착이 게이트 산화막 상에서 발생한다. 즉, Br 또는 Cl 또는 챔버내에 존재하는 임의의 다른 적절한 성분을 통합시킬 수도 있는 박층의 SiOx 는 게이트 산화막 또는 질화된 게이트 산화막 상에 증착된다. 산소의 소스가 또한 SiOx 층을 형성하기 위해 제공된다는 것이 이해될 것이다. 박층을 위한 산소 소스가 산소 함유 가스의 주입 또는 용기 내의 산소 함유 부품, 예를 들어, 석영, 알루미나, 에칭되는 기판의 산소 함유 부품 등의 존재로부터 발생할 수도 있다. 플라즈마를 위한 산소 소스의 일 실시예는 산소 가스이다. 실리콘 함유 산화막 박층의 증착 결과 폴리실리콘과 산화막의 에칭 레이트 선택비가 무한대가 된다는 것, 즉 게이트 산화막이 에칭되지 않는다는 것이 이해될 것이다. 따라서, 이는 개선된 게이트 완전성을 초래하며, 즉, 만약 있다고 해도 최소한의 산화막 손실, 더 적은 실리콘 리세스 (recess) 를 초래하며 피팅의 가능성을 상당히 감소시킨다. 또한, 그렇지 않다면 피팅이 발생할 수도 있는 영역에서 개선된 선택비 없이 프로세스가 수행될 수도 있기 때문에 증가된 에칭 레이트 선택비는 프로세스 윈도우를 넓힌다.
다른 실시형태에서, 실리콘의 고체 소스는 패시베이션 층을 위한 실리콘을 제공하기 위해 챔버 내에 포함될 수도 있다. 즉, 에칭되는 실리콘이 실질적으로 고갈된 과에칭 프로세스 동안, 실리콘의 고체 소스는 노칭을 방지하기 위해 패시베이션층에 필요한 실리콘을 제공한다. 예를 들어, 에칭 챔버의 상부 전극은 고체 실리콘 소스를 포함할 수도 있으며, 그것은 과에칭 단계 동안에 상부 전극에 무선 주파수 (RF) 바이어스를 인가함으로써 트리거될 수도 있다.
전술한 바는 본 발명의 원리, 바람직한 실시형태 및 동작 모드를 기술하였다. 그러나, 본 발명은 논의된 특정한 실시형태에 제한되는 것으로 이해하면 안된다. 따라서, 상술한 실시형태는 제한적이 아니라 예시적인 것으로 간주되어야 하며, 다음의 청구범위에 의해 정의된 본 발명의 범주를 벗어나지 않고 당업자에 의해서 실시형태들에서 변경이 만들어질 수 있다는 것이 이해될 것이다.
본 발명은 본 명세서에서 몇몇의 예시적인 실시형태로 기술되었다. 본 발명의 다른 실시형태들이 본 명세서의 고려와 발명의 실행으로부터 당업자에게 명백해질 것이다. 상술한 실시형태 및 바람직한 피쳐들은 첨부한 청구범위에 의해 정의된 발명과 함께 예시로 이해되어야 한다.

Claims (12)

  1. 플라즈마 에칭 챔버 내에서 폴리실리콘 게이트 구조를 에칭하는 방법으로서,
    에칭될 폴리실리콘 막을 보호하는 패턴을 정의하는 단계;
    플라즈마를 스트라이킹하는 단계;
    보호되지 않은 실질적으로 모든 폴리실리콘 막을 에칭하는 단계;
    실리콘 함유 가스를 주입하는 단계; 및
    실리콘 함유 가스를 주입하는 동안 폴리실리콘 막의 잔류물을 에칭하는 단계를 포함하는, 폴리실리콘 게이트 구조의 에칭 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 함유 가스를 주입하는 단계는 실리콘 함유 가스를 약 0.1 sccm (standard cubic centimeters per minute) 내지 300 sccm 사이의 플로우 레이트로 흘려주는 단계를 포함하는, 폴리실리콘 게이트 구조의 에칭 방법.
  3. 제 1 항에 있어서,
    상기 실리콘 함유 가스는 Si2H6, SiH3CH3, SiH(CH3)3, SiF4, SiCl4, SiHCl3, SiH2Cl2, SiBr4 및 테트라에틸 오소실리케이트 (TEOS) 로 구성된 그룹으로부터 선택되는, 폴리실리콘 게이트 구조의 에칭 방법.
  4. 제 1 항에 있어서,
    상기 실리콘 함유 가스는 SiF4 및 SiCl4 중 하나인, 폴리실리콘 게이트 구조의 에칭 방법.
  5. 제 1 항에 있어서,
    상기 보호되지 않은 실질적으로 모든 폴리실리콘 막을 에칭하는 단계는,
    하드마스크를 제거하기 위한 제 1 에칭을 수행하는 단계; 및
    보호되지 않은 상기 폴리실리콘 막을 제거하기 위한 제 2 에칭을 수행하는 단계를 포함하는, 폴리실리콘 게이트 구조의 에칭 방법.
  6. 제 1 항에 있어서,
    상기 실리콘 함유 가스를 주입하는 동안 폴리실리콘 막의 잔류물을 에칭하는 단계는 폴리실리콘 게이트 구조의 베이스에서 노칭 (notching) 을 방지하는 단계를 포함하는, 폴리실리콘 게이트 구조의 에칭 방법.
  7. 제 1 항에 있어서,
    상기 실리콘 함유 가스를 주입하는 단계는
    보호되지 않은 상기 폴리실리콘 막의 에칭을 종료하는 단계; 및
    과에칭 플라즈마를 스트라이킹하는 단계를 포함하는, 폴리실리콘 게이트 구조의 에칭 방법.
  8. 제 1 항에 있어서,
    상기 폴리실리콘 막의 에칭으로부터 발생된 부산물로부터 패시베이션층 (passivation layer) 을 형성하는 단계를 더 포함하는, 폴리실리콘 게이트 구조의 에칭 방법.
  9. 가스 주입구, 챔버 내의 플라즈마를 스트라이크하도록 구성된 상부 전극, 및 기판을 지지하기 위한 지지부를 포함하는 챔버; 및
    에칭 공정 동안에 패시베이션 고갈 상태를 검출하도록 구성된 제어기를 포함하고,
    상기 제어기는 상기 패시베이션 고갈 상태에 응답하여 상기 에칭 공정 동안에 상기 가스 주입구를 통해 패시베이션 강화 가스를 주입하도록 더 구성된, 반도체 프로세싱 시스템.
  10. 제 9 항에 있어서,
    상기 제어기는 범용 컴퓨터인, 반도체 프로세싱 시스템.
  11. 제 9 항에 있어서,
    상기 패시베이션 강화 가스는 실리콘 함유 가스인, 반도체 프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 실리콘 함유 가스는 Si2H6, SiH3CH3, SiH(CH3)3, SiF4, SiCl4, SiHCl3, SiH2Cl2, SiBr4 및 테트라에틸 오소실리케이트 (TEOS) 로 구성된 그룹으로부터 선택되는, 반도체 프로세싱 시스템.
KR1020057016362A 2003-03-03 2004-02-26 이중 도핑된 게이트 애플리케이션에서 프로파일 제어 및n/p 로딩을 개선하는 방법 KR101155841B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/376,227 US7098141B1 (en) 2003-03-03 2003-03-03 Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US10/376,227 2003-03-03
US10/607,612 2003-06-27
US10/607,612 US7186661B2 (en) 2003-03-03 2003-06-27 Method to improve profile control and N/P loading in dual doped gate applications
PCT/US2004/005944 WO2004079783A2 (en) 2003-03-03 2004-02-26 Method to improve profile control and n/p loading in dual doped gate applications

Publications (2)

Publication Number Publication Date
KR20050106481A true KR20050106481A (ko) 2005-11-09
KR101155841B1 KR101155841B1 (ko) 2012-06-20

Family

ID=32965311

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057016362A KR101155841B1 (ko) 2003-03-03 2004-02-26 이중 도핑된 게이트 애플리케이션에서 프로파일 제어 및n/p 로딩을 개선하는 방법

Country Status (5)

Country Link
US (2) US20070119545A1 (ko)
EP (1) EP1599894A4 (ko)
KR (1) KR101155841B1 (ko)
TW (1) TWI236715B (ko)
WO (1) WO2004079783A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7981806B2 (en) 2007-03-19 2011-07-19 Hynix Semiconductor Inc. Method for forming trench and method for fabricating semiconductor device using the same

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
KR100875653B1 (ko) * 2006-06-30 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7713758B2 (en) * 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US7899637B2 (en) * 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library
KR100864932B1 (ko) * 2007-07-23 2008-10-22 주식회사 동부하이텍 반도체 기판의 세정방법
US7670905B2 (en) * 2007-09-07 2010-03-02 Micron Technology, Inc. Semiconductor processing methods, and methods of forming flash memory structures
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
JP4972594B2 (ja) * 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
JP2012503342A (ja) * 2008-09-22 2012-02-02 アプライド マテリアルズ インコーポレイテッド 高アスペクト比構造のエッチングに適したエッチングリアクタ
JP2010080846A (ja) * 2008-09-29 2010-04-08 Tokyo Electron Ltd ドライエッチング方法
US9947558B2 (en) * 2016-08-12 2018-04-17 Lam Research Corporation Method for conditioning silicon part
JP6726610B2 (ja) * 2016-12-13 2020-07-22 東京エレクトロン株式会社 エッチング方法及び基板処理システム
US10157773B1 (en) * 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
JP2022172753A (ja) * 2021-05-07 2022-11-17 東京エレクトロン株式会社 基板処理方法および基板処理装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4680086A (en) * 1986-03-20 1987-07-14 Motorola, Inc. Dry etching of multi-layer structures
US4732801A (en) * 1986-04-30 1988-03-22 International Business Machines Corporation Graded oxide/nitride via structure and method of fabrication therefor
US4778563A (en) * 1987-03-26 1988-10-18 Applied Materials, Inc. Materials and methods for etching tungsten polycides using silicide as a mask
JPH02125611A (ja) * 1988-11-04 1990-05-14 Fujitsu Ltd レジストパターンの形成方法
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5439780A (en) * 1992-04-29 1995-08-08 At&T Corp. Energy sensitive materials and methods for their use
JP2746167B2 (ja) * 1995-01-25 1998-04-28 日本電気株式会社 半導体装置の製造方法
JPH08213368A (ja) * 1995-02-08 1996-08-20 Nippon Telegr & Teleph Corp <Ntt> エッチング方法
US5705433A (en) * 1995-08-24 1998-01-06 Applied Materials, Inc. Etching silicon-containing materials by use of silicon-containing compounds
US5670397A (en) * 1997-01-16 1997-09-23 Powerchip Semiconductor Corp. Dual poly-gate deep submicron CMOS with buried contact technology
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6491835B1 (en) * 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
US6403432B1 (en) * 2000-08-15 2002-06-11 Taiwan Semiconductor Manufacturing Company Hardmask for a salicide gate process with trench isolation
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6703269B2 (en) * 2002-04-02 2004-03-09 International Business Machines Corporation Method to form gate conductor structures of dual doped polysilicon
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7981806B2 (en) 2007-03-19 2011-07-19 Hynix Semiconductor Inc. Method for forming trench and method for fabricating semiconductor device using the same

Also Published As

Publication number Publication date
TWI236715B (en) 2005-07-21
KR101155841B1 (ko) 2012-06-20
US20070119545A1 (en) 2007-05-31
EP1599894A2 (en) 2005-11-30
US7682980B2 (en) 2010-03-23
TW200501278A (en) 2005-01-01
WO2004079783A2 (en) 2004-09-16
EP1599894A4 (en) 2010-04-28
WO2004079783A3 (en) 2005-01-20
US20070117399A1 (en) 2007-05-24

Similar Documents

Publication Publication Date Title
US7186661B2 (en) Method to improve profile control and N/P loading in dual doped gate applications
US7682980B2 (en) Method to improve profile control and N/P loading in dual doped gate applications
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
US6756313B2 (en) Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
TWI416614B (zh) 用以改善臨界尺寸均勻度之邊緣氣體注入
US7361607B2 (en) Method for multi-layer resist plasma etch
US6660127B2 (en) Apparatus for plasma etching at a constant etch rate
US6191043B1 (en) Mechanism for etching a silicon layer in a plasma processing chamber to form deep openings
US20060043066A1 (en) Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20080057729A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US9595451B1 (en) Highly selective etching methods for etching dielectric materials
US20060021704A1 (en) Method and apparatus for etching Si
EP0954877B1 (en) Method for reducing plasma-induced charging damage
US6955964B2 (en) Formation of a double gate structure
US20040018741A1 (en) Method For Enhancing Critical Dimension Uniformity After Etch

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee