KR20050044734A - 개선된 장벽층접착을 가진 배선들 - Google Patents
개선된 장벽층접착을 가진 배선들 Download PDFInfo
- Publication number
- KR20050044734A KR20050044734A KR1020047008728A KR20047008728A KR20050044734A KR 20050044734 A KR20050044734 A KR 20050044734A KR 1020047008728 A KR1020047008728 A KR 1020047008728A KR 20047008728 A KR20047008728 A KR 20047008728A KR 20050044734 A KR20050044734 A KR 20050044734A
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- tantalum nitride
- nitrogen
- insulating layer
- surface region
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01029—Copper [Cu]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/30—Technical effects
- H01L2924/301—Electrical effects
- H01L2924/3011—Impedance
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Abstract
Description
Claims (10)
- 절연층(15, 13)에 개구(16)를 형성하는 단계와;질소함유분위기에서 상기 절연층(15, 13)의 노출표면들(17)을 어닐링(18)하는 단계와; 그리고개구를 라이닝(lining)하는 탄탈(Ta)을 포함하는 합성장벽층(20, 21)을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체디바이스 제조방법.
- 제 1항에 있어서, 암모니아(NH3)와 질소(N2)분위기에서 레이저열어닐링(18)하는 단계를 포함하는 것을 특징으로 하는 반도체디바이스 제조방법.
- 제 1항에 있어서, F-TEOS(F-doped tetraethyl orthosilicate)로부터 도출된 실리콘산화물을 포함하는 상기 절연층(15, 13)이 불소(F)를 포함하는 것을 특징으로 하는 반도체디바이스 제조방법.
- 제 2항에 있어서, F는 결핍되고 N2는 강화된 표면영역(19)을 형성하기 위해 노출표면들을 레이저열어닐링(16)하는 단계를 포함하는 것을 특징으로 하는 반도체디바이스 제조방법.
- 제 1항에 있어서, Ta증착에 의해 합성장벽층(20, 21)을 형성하는 단계를 포함하며, 여기서 상기 합성장벽층은 N2 강화표면영역(19)상에 탄탈질화물의 경사진 층(20), N2 강화표면영역(19)으로부터 멀어지는 방향으로 양이 감소하는 질소(N2)를 함유하는 경사진 탄탈질화물층, 그리고경사진 탄탈질화물층(20)상에 -Ta의 층(21)을 포함하는 것을 특징으로 하는 반도체디바이스 제조방법.
- 제 2항에 있어서, 노출표면들상에 약 0.09내지 약 0.11J/㎠의 복사 프루언스(radiant fluence)의 레이저광빔을 쏘아(impinging) 노출표면들을 약 370℃내지 약 430℃의 온도로 가열하는 레이저열어닐링(18)을 포함하는 것을 특징으로 하는 반도체디바이스 제조방법.
- 제 2항에 있어서, Ta증착에 의해 합성장벽층(20, 21)을 형성하는 단계를 포함하며, 여기서 상기 합성장벽층은 N2 강화표면영역(19)상에 탄탈질화물의 경사진 층(20), N2 강화표면영역(19)으로부터 멀어지는 방향으로 양이 감소하는 질소(N2)를 함유하는 경사진 탄탈질화물층, 그리고경사진 탄탈질화물층(20)상에 -Ta의 층(21)을 포함하는 것을 특징으로 하는 반도체디바이스 제조방법.
- 절연층(15, 13)의 개구와, 그리고개구를 라이닝하는 절연층의 표면상에 형성된 합성장벽층(20, 21)을 포함하며,여기서 상기 절연층의 표면은 질소(N2)강화표면영역(19)을 포함하며, 그리고상기 합성장벽층은 질소(N2)강화표면영역으로부터 멀어지는 방향으로 양이 감소하는 질소(N2)를 함유하는 탄탈질화물의 초기경사진층(20)과 경사진 탄탈질화물층상의 -Ta의 층(21)을 포함하는 것을 특징으로 하는 반도체디바이스.
- 제 11항에 있어서, F-TEOS로부터 도출된 실리콘산화물을 포함하는 상기 절연층(15, 13)이 불소(F)를 포함하는 것을 특징으로 하는 반도체디바이스.
- 제 9항에 있어서, 상기 개구는 상부 트랜치와 통신하는 하부 비아홀을 포함하는 이중 상감개구이며, 그리고채워진 개구는 상부의 Cu 또는 Cu합금라인(23A)와 통신하는 Cu 또는 Cu합금비아(23B)를 포함하는 것을 특징으로 하는 반도체디바이스.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/001,805 US6645853B1 (en) | 2001-12-05 | 2001-12-05 | Interconnects with improved barrier layer adhesion |
US10/001,805 | 2001-12-05 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020097017642A Division KR101059968B1 (ko) | 2001-12-05 | 2002-12-04 | 장벽층 접착이 개선된 배선들 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20050044734A true KR20050044734A (ko) | 2005-05-12 |
KR100922420B1 KR100922420B1 (ko) | 2009-10-16 |
Family
ID=21697916
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020047008728A KR100922420B1 (ko) | 2001-12-05 | 2002-12-04 | 장벽층 접착이 개선된 배선들 |
KR1020097017642A KR101059968B1 (ko) | 2001-12-05 | 2002-12-04 | 장벽층 접착이 개선된 배선들 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020097017642A KR101059968B1 (ko) | 2001-12-05 | 2002-12-04 | 장벽층 접착이 개선된 배선들 |
Country Status (8)
Country | Link |
---|---|
US (2) | US6645853B1 (ko) |
EP (1) | EP1451858B1 (ko) |
JP (1) | JP4740538B2 (ko) |
KR (2) | KR100922420B1 (ko) |
CN (1) | CN1316566C (ko) |
AU (1) | AU2002362062A1 (ko) |
TW (1) | TWI265593B (ko) |
WO (1) | WO2003049161A1 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100687436B1 (ko) * | 2005-12-26 | 2007-02-26 | 동부일렉트로닉스 주식회사 | 반도체소자의 구리배선막 형성방법 |
Families Citing this family (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW518712B (en) * | 2002-01-25 | 2003-01-21 | Taiwan Semiconductor Mfg | Manufacture method of low resistance barrier layer of copper metallization process |
US6664185B1 (en) * | 2002-04-25 | 2003-12-16 | Advanced Micro Devices, Inc. | Self-aligned barrier formed with an alloy having at least two dopant elements for minimized resistance of interconnect |
US7060557B1 (en) * | 2002-07-05 | 2006-06-13 | Newport Fab, Llc, Inc. | Fabrication of high-density capacitors for mixed signal/RF circuits |
US6780789B1 (en) * | 2002-08-29 | 2004-08-24 | Advanced Micro Devices, Inc. | Laser thermal oxidation to form ultra-thin gate oxide |
US7825516B2 (en) * | 2002-12-11 | 2010-11-02 | International Business Machines Corporation | Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures |
US20050104072A1 (en) | 2003-08-14 | 2005-05-19 | Slater David B.Jr. | Localized annealing of metal-silicon carbide ohmic contacts and devices so formed |
US6992390B2 (en) * | 2003-11-07 | 2006-01-31 | International Business Machines Corp. | Liner with improved electromigration redundancy for damascene interconnects |
KR100515370B1 (ko) * | 2003-12-31 | 2005-09-14 | 동부아남반도체 주식회사 | 반도체 소자의 플러그 제조 방법 |
US6952052B1 (en) * | 2004-03-30 | 2005-10-04 | Advanced Micro Devices, Inc. | Cu interconnects with composite barrier layers for wafer-to-wafer uniformity |
US7605469B2 (en) * | 2004-06-30 | 2009-10-20 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
US7223670B2 (en) * | 2004-08-20 | 2007-05-29 | International Business Machines Corporation | DUV laser annealing and stabilization of SiCOH films |
US7087521B2 (en) * | 2004-11-19 | 2006-08-08 | Intel Corporation | Forming an intermediate layer in interconnect joints and structures formed thereby |
US20060113675A1 (en) * | 2004-12-01 | 2006-06-01 | Chung-Liang Chang | Barrier material and process for Cu interconnect |
US7528028B2 (en) * | 2005-06-17 | 2009-05-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Super anneal for process induced strain modulation |
KR100640662B1 (ko) * | 2005-08-06 | 2006-11-01 | 삼성전자주식회사 | 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법 |
US20070235876A1 (en) * | 2006-03-30 | 2007-10-11 | Michael Goldstein | Method of forming an atomic layer thin film out of the liquid phase |
US7800228B2 (en) * | 2006-05-17 | 2010-09-21 | International Business Machines Corporation | Reliable via contact interconnect structure |
KR100853098B1 (ko) * | 2006-12-27 | 2008-08-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 배선 및 이의 제조 방법 |
US7851343B2 (en) * | 2007-06-14 | 2010-12-14 | Cree, Inc. | Methods of forming ohmic layers through ablation capping layers |
US20090102052A1 (en) * | 2007-10-22 | 2009-04-23 | Sang Wook Ryu | Semiconductor Device and Fabricating Method Thereof |
US20090179328A1 (en) * | 2008-01-14 | 2009-07-16 | International Business Machines Corporation | Barrier sequence for use in copper interconnect metallization |
CN101494191B (zh) * | 2008-01-24 | 2011-03-23 | 中芯国际集成电路制造(上海)有限公司 | 一种双镶嵌结构的制造方法 |
US8105937B2 (en) * | 2008-08-13 | 2012-01-31 | International Business Machines Corporation | Conformal adhesion promoter liner for metal interconnects |
US20100099251A1 (en) * | 2008-10-22 | 2010-04-22 | Applied Materials, Inc. | Method for nitridation pretreatment |
KR101277272B1 (ko) | 2008-12-08 | 2013-06-20 | 한국전자통신연구원 | 조류인플루엔자 바이러스의 포획 및 억제용 펩타이드 화합물 및 그의 응용 |
CN102420176A (zh) * | 2011-06-15 | 2012-04-18 | 上海华力微电子有限公司 | 一种改善半导体晶片翘曲的方法 |
US8420531B2 (en) * | 2011-06-21 | 2013-04-16 | International Business Machines Corporation | Enhanced diffusion barrier for interconnect structures |
JP5835696B2 (ja) | 2012-09-05 | 2015-12-24 | 株式会社東芝 | 半導体装置およびその製造方法 |
US11443983B2 (en) * | 2018-09-24 | 2022-09-13 | Intel Corporation | Void-free high aspect ratio metal alloy interconnects and method of manufacture using a solvent-based etchant |
CN110970350A (zh) * | 2018-09-28 | 2020-04-07 | 长鑫存储技术有限公司 | 包含α-Ta层的扩散阻挡层的制备方法以及复合扩散阻挡层 |
CN110112096A (zh) * | 2019-05-17 | 2019-08-09 | 长江存储科技有限责任公司 | 金属互连结构及其形成方法 |
Family Cites Families (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2821598B2 (ja) * | 1988-08-23 | 1998-11-05 | ソニー株式会社 | 半導体集積回路装置の製造方法 |
US5464792A (en) * | 1993-06-07 | 1995-11-07 | Motorola, Inc. | Process to incorporate nitrogen at an interface of a dielectric layer in a semiconductor device |
US6271120B1 (en) | 1995-03-10 | 2001-08-07 | Advanced Micro Devices, Inc. | Method of enhanced silicide layer for advanced metal diffusion barrier layer application |
JPH09162291A (ja) * | 1995-12-06 | 1997-06-20 | Ricoh Co Ltd | 半導体装置の製造方法 |
US5801097A (en) * | 1997-03-10 | 1998-09-01 | Vanguard International Semiconductor Corporation | Thermal annealing method employing activated nitrogen for forming nitride layers |
US6448655B1 (en) * | 1998-04-28 | 2002-09-10 | International Business Machines Corporation | Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation |
US6461675B2 (en) * | 1998-07-10 | 2002-10-08 | Cvc Products, Inc. | Method for forming a copper film on a substrate |
US6265779B1 (en) * | 1998-08-11 | 2001-07-24 | International Business Machines Corporation | Method and material for integration of fuorine-containing low-k dielectrics |
US6146996A (en) | 1998-09-01 | 2000-11-14 | Philips Electronics North America Corp. | Semiconductor device with conductive via and method of making same |
TWI223873B (en) * | 1998-09-24 | 2004-11-11 | Applied Materials Inc | Nitrogen-containing tantalum films |
US6143650A (en) | 1999-01-13 | 2000-11-07 | Advanced Micro Devices, Inc. | Semiconductor interconnect interface processing by pulse laser anneal |
US6156648A (en) * | 1999-03-10 | 2000-12-05 | United Microelectronics Corp. | Method for fabricating dual damascene |
JP2000323476A (ja) * | 1999-05-12 | 2000-11-24 | Tokyo Electron Ltd | 配線構造およびその製造方法 |
US6222579B1 (en) * | 1999-05-14 | 2001-04-24 | Presstek, Inc. | Alignment of laser imaging assembly |
US6339258B1 (en) * | 1999-07-02 | 2002-01-15 | International Business Machines Corporation | Low resistivity tantalum |
US6326301B1 (en) * | 1999-07-13 | 2001-12-04 | Motorola, Inc. | Method for forming a dual inlaid copper interconnect structure |
JP2001053077A (ja) * | 1999-08-13 | 2001-02-23 | Hitachi Ltd | 半導体集積回路装置およびその製造方法 |
US6355153B1 (en) * | 1999-09-17 | 2002-03-12 | Nutool, Inc. | Chip interconnect and packaging deposition methods and structures |
US6294458B1 (en) * | 2000-01-31 | 2001-09-25 | Motorola, Inc. | Semiconductor device adhesive layer structure and process for forming structure |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
US6284657B1 (en) * | 2000-02-25 | 2001-09-04 | Chartered Semiconductor Manufacturing Ltd. | Non-metallic barrier formation for copper damascene type interconnects |
US6657284B1 (en) * | 2000-12-01 | 2003-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Graded dielectric layer and method for fabrication thereof |
US6429524B1 (en) * | 2001-05-11 | 2002-08-06 | International Business Machines Corporation | Ultra-thin tantalum nitride copper interconnect barrier |
US6548400B2 (en) * | 2001-06-29 | 2003-04-15 | Texas Instruments Incorporated | Method of fabricating interlevel connectors using only one photomask step |
US6930391B2 (en) * | 2002-08-27 | 2005-08-16 | Intel Corporation | Method for alloy-electroplating group IB metals with refractory metals for interconnections |
-
2001
- 2001-12-05 US US10/001,805 patent/US6645853B1/en not_active Expired - Lifetime
-
2002
- 2002-12-04 WO PCT/US2002/038820 patent/WO2003049161A1/en active Application Filing
- 2002-12-04 KR KR1020047008728A patent/KR100922420B1/ko active IP Right Grant
- 2002-12-04 EP EP02797192A patent/EP1451858B1/en not_active Expired - Lifetime
- 2002-12-04 JP JP2003550266A patent/JP4740538B2/ja not_active Expired - Lifetime
- 2002-12-04 AU AU2002362062A patent/AU2002362062A1/en not_active Abandoned
- 2002-12-04 KR KR1020097017642A patent/KR101059968B1/ko active IP Right Grant
- 2002-12-04 CN CNB028242548A patent/CN1316566C/zh not_active Expired - Lifetime
- 2002-12-05 TW TW091135258A patent/TWI265593B/zh not_active IP Right Cessation
-
2003
- 2003-09-16 US US10/662,525 patent/US7071562B2/en not_active Expired - Lifetime
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100687436B1 (ko) * | 2005-12-26 | 2007-02-26 | 동부일렉트로닉스 주식회사 | 반도체소자의 구리배선막 형성방법 |
Also Published As
Publication number | Publication date |
---|---|
AU2002362062A1 (en) | 2003-06-17 |
TW200304202A (en) | 2003-09-16 |
TWI265593B (en) | 2006-11-01 |
KR101059968B1 (ko) | 2011-08-29 |
WO2003049161A1 (en) | 2003-06-12 |
JP4740538B2 (ja) | 2011-08-03 |
EP1451858A1 (en) | 2004-09-01 |
US6645853B1 (en) | 2003-11-11 |
US7071562B2 (en) | 2006-07-04 |
CN1316566C (zh) | 2007-05-16 |
KR20090095680A (ko) | 2009-09-09 |
CN1599949A (zh) | 2005-03-23 |
EP1451858B1 (en) | 2012-02-22 |
JP2005512322A (ja) | 2005-04-28 |
KR100922420B1 (ko) | 2009-10-16 |
US20040063310A1 (en) | 2004-04-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101059968B1 (ko) | 장벽층 접착이 개선된 배선들 | |
EP1442479B1 (en) | Method of forming reliable cu interconnects | |
US6509267B1 (en) | Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer | |
US6429128B1 (en) | Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface | |
US6764951B1 (en) | Method for forming nitride capped Cu lines with reduced hillock formation | |
US6472231B1 (en) | Dielectric layer with treated top surface forming an etch stop layer and method of making the same | |
US20020090806A1 (en) | Copper dual damascene interconnect technology | |
US20160358859A1 (en) | Reducing contact resistance in vias for copper interconnects | |
US6432822B1 (en) | Method of improving electromigration resistance of capped Cu | |
US6506677B1 (en) | Method of forming capped copper interconnects with reduced hillock formation and improved electromigration resistance | |
US6664187B1 (en) | Laser thermal annealing for Cu seedlayer enhancement | |
US6797652B1 (en) | Copper damascene with low-k capping layer and improved electromigration reliability | |
US6818557B1 (en) | Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance | |
KR20040096322A (ko) | 반도체 소자의 금속배선 형성방법 | |
US6723634B1 (en) | Method of forming interconnects with improved barrier layer adhesion | |
JP2004505447A (ja) | 界面および接着性が改良された銅配線キャップ層を形成する方法 | |
US6743310B1 (en) | Method of forming nitride capped Cu lines with improved adhesion and reduced electromigration along the Cu/nitride interface | |
US6727592B1 (en) | Copper interconnect with improved barrier layer | |
JP2000150517A (ja) | 半導体集積回路装置およびその製造方法 | |
US6897144B1 (en) | Cu capping layer deposition with improved integrated circuit reliability | |
KR100935193B1 (ko) | 반도체 소자의 금속배선 및 그의 형성방법 | |
KR20100073779A (ko) | 반도체 소자의 금속배선 및 그 제조 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
AMND | Amendment | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E601 | Decision to refuse application | ||
J201 | Request for trial against refusal decision | ||
A107 | Divisional application of patent | ||
AMND | Amendment | ||
B701 | Decision to grant | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20120927 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20130926 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20140923 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20150918 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20160921 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20170919 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20180918 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20190917 Year of fee payment: 11 |