KR20050044685A - 정전 척 모듈 및 냉각 시스템 - Google Patents

정전 척 모듈 및 냉각 시스템 Download PDF

Info

Publication number
KR20050044685A
KR20050044685A KR1020047008569A KR20047008569A KR20050044685A KR 20050044685 A KR20050044685 A KR 20050044685A KR 1020047008569 A KR1020047008569 A KR 1020047008569A KR 20047008569 A KR20047008569 A KR 20047008569A KR 20050044685 A KR20050044685 A KR 20050044685A
Authority
KR
South Korea
Prior art keywords
electrostatic chuck
alumina
plate
cooling plate
electrostatic
Prior art date
Application number
KR1020047008569A
Other languages
English (en)
Inventor
노리아키 타테노
준 미야지
유스미 사고
마사요시 이케다
카즈아키 카네코
토미오 타카무라
타다시 히라야마
요시유키 이케무라
마사히코 타마루
Original Assignee
도토기키 가부시키가이샤
아네르바 가부시키가이샤
쵸슈 산교 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도토기키 가부시키가이샤, 아네르바 가부시키가이샤, 쵸슈 산교 가부시키가이샤 filed Critical 도토기키 가부시키가이샤
Publication of KR20050044685A publication Critical patent/KR20050044685A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

관통 누출이 없는 반도체 제조 장치용의 수냉(水冷) 가능한 고정도(高精度) 정전 척(chuck) 모듈을 제공한다. Cu계 복합 재료에 있어서 열 팽창률이 큰 Cu 및 Ni와 열 팽창률이 작은 W, Mo를 적절한 조성비로 함으로써, 정전 척용 알루미나 소재와 열 팽창률이 일치하는 고열전도 소재가 얻어진다. 이 복합 재료는 관통 누출이 있어, 진공 장치에는 사용되지 않지만, 단조 가공을 함으로써 관통 누출을 방지할 수 있고, 또한 수냉(水冷) 플레이트로 사용하기 위해서는 물에 대한 내식성(耐蝕性)이 중요하지만, 이것은 도금, 스퍼터링 등에 의해, Ni, Cr, Cu 박막을 붙임으로써 개선할 수 있다.

Description

정전 척 모듈 및 냉각 시스템{Electrostatic clampless holder module and cooling system}
본 발명은 반도체 제조 장치의 플라즈마 CVD, 에쳐(etcher) 등에 사용되는 웨이퍼(wafer) 보유용의 세라믹제 정전(靜電) 척(chuck)에 관한 기술로, 특히 냉매가 물인 정전 척 모듈에 관한 것이다.
플라즈마 CVD, 에쳐 등의 반도체 제조 장치에서는 진공 중에서 가스를 흘려 보내면서 고주파를 인가하여 반응을 진행시킨다. 그 때문에 정전 척으로 흡착한 웨이퍼에 열이 들어가기 때문에, 입열분(入熱分)을 냉각하지 않으면 웨이퍼의 온도가 변화하여 균일한 처리가 불가능하게 된다. 때문에 정전 척과 냉각 플레이트를 접합하여 웨이퍼의 온도가 일정하게 되도록 냉각을 행하고 있다.
정전 척 모듈의 냉각 플레이트로서는 종래 알루미늄이 사용되어 왔다. 이 경우 냉매는 FluorinertTM 등이 사용된다. 그러나 FluorinertTM는 비용이 높고 냉각 효율이 나쁘다는 문제가 있다. 그래서 냉매를 FluorinertTM에서 물로 바꾸면, 알루미늄은 물에 대한 내식성(耐蝕性)이 나쁘기 때문에, 수로가 막히거나 냉각 플레이트가 부식하여 구멍이 생기는 일이 발생하게 된다. 이것을 해소하기 위해, 냉각 플레이트의 재료로서 Cu(순동, 청동 등)를 사용하는 것을 고안하였다.
냉각 플레이트의 소재로서는 열전도율이 높은 것이 요구되며, 이상의 요구를 만족시키는 소재로서 Cu를 포함하는 복합 재료가 최적이다. 그러나, 실제로 냉각 플레이트를 Cu-W, Cu-W-Ni, Cu-Mo, Cu-Mo-Ni로 제작하여 누출(leak) 시험을 한 결과, 소재 자체의 관통 누출이 발생하였다.
정전 척은 진공 중에서 사용할 수 있다는 점이 가장 큰 특징이지만, 관통 누출이 있는 경우에는 진공 중에서 사용할 수 없게 된다.
또한 Cu는 열 팽창률이 세라믹과 다르기 때문에, 금속과 세라믹 접합 방법으로 하여 In(인듐) 본딩(bonding)이 사용되는데, 정전 척의 온도가 변화하면 정전 척 표면의 평면도(平面度)가 변화한다는 문제가 있다. 또한 알루미늄을 사용한 경우에도 마찬가지로 온도 변화에 의해 평면도가 변화한다. 이것은 정전 척의 알루미나세라믹과 금속인 알루미늄이나 구리의 열 팽창률이 크게 다르기 때문에, 온도 변화하면 In이 소성(塑性) 변형하기 때문이다.
특히 웨이퍼의 사이즈가 12인치로 대형화되면, 표면의 평면도가 변화하는 문제는 보다 큰 문제가 된다.
또한 Cu계 합성 재료에 대해서는 물에 대한 부식 대책(전압이 인가되어, 전기에 의한 부식이 일어나는 것도 포함하여)도 필요하다.
도 1(a)는 단조 전의 Cu계 복합 재료의 조직의 모식도, 도 1(b)는 단조 전의 Cu계 복합 재료의 조직의 모식도이고,
도 2는 평면도를 설명한 도면이고,
도 3(a) ∼ 도 3(d)는 본 발명에 관한 정전 척 모듈을 조합한 플라즈마 처리 장치의 단면도이고,
도 4는 동(同) 정전 척 모듈의 단면도이고,
도 5는 도 4의 요부(要部) 확대도이고,
도 6은 알루미나와 Cu계 복합 재료의 열 팽창률을 비교한 그래프이다.
본 발명은 상기 과제 중 관통 누출의 과제를 해결하기 위해, 알루미나제 정전 척 플레이트와 냉각 플레이트를 접합하여 구성되는 정전 척 모듈에 있어서, 상기 냉각 플레이트를 Cu-W, Cu-W-Ni, Cu-Mo, Cu-Mo-Ni 등의 Cu계 복합 재료를 단조(鍛造) 가공한 것으로 하였다.
단조 가공이 Cu 복합 재료의 관통 누출 불량에 효과가 있는 이유는 단조 전에는 도 1(a)에 도시하는 바와 같이, Cu부와 W, Mo부의 계면에 가늘게 연속한 간극이 존재하고, 이것이 관통 누출의 원인이 되고 있지만, 단조 가공에 의해 한 방향으로부터 힘을 가하여 변형시킬 때, 계면의 연속한 간극이 도 1(b)에 도시한 바와 같이 절단되어 불연속하게 되기 때문이라고 생각된다.
덧붙여 HIP(Hot isostatic pressing) 처리를 한 결과, 재료는 종횡 균등하게 압축되기 때문에 연속한 간극은 절단되지 않고 남게되어 누출이 발생하였다.
또한 단조 가공으로 연속한 간극을 완전히 없애기 위해서는 이론(理論) 밀도비(密度比) 97% 이상으로 단조비(鍛造比) 5% 이상의 단조 가공을 실시해야 한다. 여기에서 단조비란 도 1을 예로 들어 본다면 100(T1 - T2)/T1을 말한다.
또한 누출을 제어하면서 평면도의 변화를 작게 하기 위해, 본 발명은 냉각 플레이트의 열 팽창률과 알루미나제 정전 척 플레이트의 열 팽창률의 차(差)가 2 × 10-6/℃ 이하가 되도록 하였다.
또한 상기에 더하여 내식성(耐蝕性)을 향상시키기 위해, 본 발명은 냉각 플레이트의 수로 표면에 도금, PVD, CVD 등의 방법에 의해 Ni, Cu, Cr, Ti 또는 Sn의 박막을 형성하였다.
또한 본 발명에 관한 정전 척 모듈은 상기 냉각 플레이트와 알루미나제 정전 척 플레이트와 접합한 후에 평면도 5㎛ 이하가 될 때까지 정전 척 플레이트 표면을 고정도(高精度) 가공하였다.
여기에서 평면도란 도 2에 도시하는 바와 같이 정전 척 플레이트의 표면 중 가장 높은 부분과 낮은 부분의 차를 말한다.
또한 방전 및 오염을 방지하는 구성으로서 상기 알루미나제 정전 척 플레이트 외주 하단을 언더 컷(under-cut)하고, 이 언더 컷한 부분에 연속하도록 냉각 플레이트의 외측면을 알루미나막으로 덮도록 하여도 좋다.
아래에 본 발명의 실시 형태를 첨부 도면에 기초하여 설명한다. 도 3(a) ∼ 도 3(d)는 본 발명에 관한 정전 척 모듈을 조합한 플라즈마 처리 장치의 단면도, 도 4는 동(同) 정전 척 모듈의 단면도, 도 5는 도 4의 요부 확대도이다.
도 3(a) ∼ 도 3(d)에 도시한 각 플라즈마 처리 장치는 체임버(1) 내의 상부에 상부 전극(2)을 배치함과 동시에 하부에 정전 척 모듈(3)을 배치하고 있다. 그리고 각 플라즈마 처리 장치의 작용은 아래와 같다.
도 3(a)에 도시한 플라즈마 처리 장치에서는 도시하지 않은 가스 도입부로부터 소정의 가스를 소정의 유량으로 도입하고, 도시하지 않은 압력 제어부에서 처리실 내를 소정의 압력으로 제어하여, 상부 전극(2)에 예를 들면 13.56MHz의 고주파를 인가하여 플라즈마를 발생시키고, 정전 척 모듈(3) 상에 배치한 기판에 대하여 생성한 플라즈마로 에칭, 성막, 데포짓(deposit) 박리, 레지스트(resist) 박리 등의 처리를 행한다. 이 때, 하부 전극에는 직류 전압을 인가하여 정전 척 모듈(3)로 기판을 흡착하고 있다. 도 3(a)는 단극(單極) 타입의 정전 척이지만 양극 타입의 정전 척도 성립된다.
도 3(b)에 도시한 플라즈마 처리 장치에 있어서는 도시하지 않은 가스 도입부로부터 소정의 가스를 소정의 유량으로 도입하고, 도시하지 않은 압력 제어부에서 처리실 내를 소정의 압력으로 제어하고, 하부 전극에 예를 들면 13.56MHz의 고주파를 인가하여 플라즈마를 발생시키고, 정전 척 모듈(3) 상에 배치한 기판에 대하여 생성한 플라즈마로 에칭, 성막, 데포짓 박리, 레지스트 박리 등의 처리를 한다. 이 때, 하부 전극에는 직류 전압을 인가하여 정전 척 모듈(3)로 기판을 흡착하고 있다. 도 3(b)는 단극 타입의 정전 척이지만 양극 타입의 정전 척도 성립된다.
도 3(c)에 도시한 플라즈마 처리 장치에 있어서는 도시하지 않은 가스 도입부로부터 소정의 가스를 소정의 유량으로 도입하고, 도시하지 않은 압력 제어부에서 처리실 내를 소정의 압력으로 제어하고, 도시하지 않은 가열 기구로 반응종(反應種)을 생성하고, 정전 척 모듈(3) 상에 배치한 기판에 대하여 생성한 반응종으로 에칭, 성막, 데포짓 박리, 레지스트 박리 등의 처리를 행한다. 이 때, 하부 전극에는 직류 전압을 인가하여 정전 척 모듈(3)로 기판을 흡착하고 있다. 도 3(c)은 양극 타입의 정전 척이고 단극 타입의 정전 척은 성립하지 않는다.
도 3(d)에 도시한 플라즈마 처리 장치에 있어서는 도시하지 않은 가스 도입부로부터 소정의 가스를 소정의 유량으로 도입하여, 도시하지 않은 압력 제어부에서 처리실 내를 소정의 압력으로 제어하고, 상부 전극에 예를 들면 60MHz의 고주파, 하부 전극에 1.6MHz의 고주파를 인가하여 플라즈마를 발생시키고, 정전 척 모듈(3) 상에 배치한 기판에 대하여 생성한 플라즈마로 에칭, 성막, 데포짓 박리, 레지스트 박리 등의 처리를 행한다. 이 때, 하부 전극에는 직류 전압을 인가하여 정전 척 모듈(3)로 기판을 흡착하고 있다. 도 3(d)는 단극 타입의 정전 척이지만 양극의 정전 척인 경우에도 성립된다.
도 3(a) ∼ 도 3(d)는 평행 평판형의 기판 처리 장치에 대하여 설명하고 있지만, 정전 척이 온도 변화하는 것과 같은 처리 장치라면, 본 발명은 어떠한 구조·기구를 가지는 처리 장치라도 적용가능하다.
정전 척 모듈(3)은 도 4에 도시하는 바와 같이, Cu계 복합 재료로 된 냉각 플레이트(4)에 알루미늄제 정전 척 플레이트(5)를 접합하여 이루어지고, 냉각 플레이트(4) 상하의 반체(半體)(4a, 4b)로 이루어지고, 이들 반체(4a, 4b)를 접합한 상태로 냉각수(냉매) 통로(6)가 형성되고, 또한 정전 척 플레이트(5) 내에는 내부 전극(7)이 형성되어 있다. 또한 단극식인 경우 내부 전극(7)은 하나, 양극식인 경우 내부 전극(7)은 한쌍 형성된다.
이상의 정전 척 모듈(3)을 구성하기 위해서는 알루미나제 정전 척 플레이트(5)와 냉각 플레이트(4)를 구성하는 상하 반체(4a, 4b)를 준비한다. 반체(4a, 4b)의 재료로서는 Cu계 복합 재료를 사용한다. 도 6은 알루미나와 Cu계 복합 재료의 열 팽창률을 비교한 그래프이고, Cu계 복합 재료로서는 12% Cu - 88% W, 15% Cu - 85% Mo, 14% Cu - 2% Ni - 84% Mo등을 들 수 있다. 이 중에서 14% Cu - 2% Ni - 84% Mo가 알루미나와 열 팽창률이 가장 가깝고 최적이다.
또한 상기 반체(4a, 4b)에 대해서는 5% 이상의 단조 가공이 실시되어, 결정계면에는 연속한 간극이 실질적으로 존재하지 않는 상태가 되어 있다.
상기 반체(4a, 4b)의 수로(6)를 형성하는 측의 표면에 도금, PVD, CVD 등의 방법에 의해 Ni, Cu, Cr, Ti 또는 Sn의 박막을 형성하고, 이 후, 납땜, 경납땜에 의해 반체(4a, 4b)를 접합하고, 냉각 플레이트(4)를 제작한다.
그리고, 냉각 플레이트(4)와 정전 척 플레이트(5)를 금속화한 후, In 접합, 납땜, 은 경납땜(은 경납의 경우, Cu 복합 재료 - Cu 복합 재료 - 정전 척의 동시 접합이 가능)을 한다. 접합 후는, 정전 척 플레이트(5)의 표면을 평면도 5㎛ 이하가 될 때까지 고정도 가공하고, 또한 외주부를 냉각 플레이트와 정전 척을 동시 가공하여, 정전 척 플레이트(5)의 외주단 하면에 언더 컷 형상을 만들고, 용사(溶射)에 의해 냉각 플레이트(4)의 외주면에 알루미나 박막(8)을 형성하여 절연성을 확보한다. 또한 도면 중 부호 9는 리프트 핀(lift pin)이 삽입되어 통과하는 슬리브(sleeve)이다.
이어서 구체적인 실시예에 대하여 설명한다.
[실시예 1]
15% Cu - 85% Mo 복합 재료와 15% Cu - 85% Mo 복합 재료(각각 소성 후, 40% 단조 가공을 함)를 금속 플레이트용으로 가공한 후, 무전해 Ni 도금 - 신터(sinter) - 전해 Ni 도금을 하고, BAg(8)에서 진공 경납땜하여 냉각 플레이트를 제작하였다.
PVD법으로 알루미나제 정전 척과 Cu계 복합 재료의 냉각 플레이트를 금속화한 후에 납땜하였다.
그 후, 정전 척 표면을 평면도 5㎛ 이하로 고정도 가공하여, 외주부를 언더 컷 형상으로 가공한 후, 순도 99% 알루미나를 용사, SiO2막을 함침(含浸)한 후, 용사막과 알루미나제 정전 척의 외주부를 동시에 연삭(硏削)하였다.
[실시예 2]
13.5% Cu - 2.0% Ni - 84.5% Mo 합금과 13.5% Cu - 2.0% Ni - 84.5% Mo 합금 표면(각각 20% 단조 가공을 함)에 Ti막을 PVD법으로 형성하고, 금속화한 알루미나제 정전 척 3장을 BAg(8)에서 진공 경납땜하였다(Cu 복합 재료 - Cu 복합 재료 사이, Cu 복합 재료 - 금속화한 정전 척 사이에 은 경납을 하여 동시에 접합을 실시함).
그 후, 정전 척 표면을 평면도 5㎛ 이하로 고정도 가공하고, 외주부를 언더 컷 형상으로 가공한 후, 순도 99% 알루미나를 용사, 알루미나 용사막과 알루미나제 정전 척의 외주부를 동시에 연삭하였다.
[실시예 3]
14.5% Cu - 1.0% Ni - 84.5% Mo 합금과 14.5% Cu - 1.0% Ni - 84.5% Mo 합금(각각 40% 단조 가공을 함)에 PVD법으로 Sn을 성막하고, 납땜을 실시하여 냉각 플레이트를 제작하였다. 알루미나제 정전 척도 마찬가지로 PVD법으로 Sn을 성막한 후, 납땜하였다. 그 후, 정전 척 표면을 평면도 5㎛ 이하로 고정도 가공하고, 외주부를 언터 컷 형상으로 가공한 후, 순도 99% 알루미나를 용사하여, SiO2막을 함침(含浸)한 후, 용사막과 알루미나제 정전 척의 외주부를 동시에 연삭하였다.
[비교예]
두장의 알루미늄제 금속 플레이트를 알루미늄 경납땜에 의해 접합하고, 냉각 플레이트를 제작하였다. 그리고, 알루마이트(alumite) 처리하여, 알루미늄 절연막을 형성하였다. 정전 척과 알루미늄제 냉각 플레이트의 접합면에 Ti, Cu를 스퍼터링하여, In 본딩을 행하였다. 그 후, 정전 척 표면을 가공하여, 고정도 가공하였다.
이상의 실시예 1 ∼ 실시예 3과 비교예에 대하여 소정의 온도 사이클 조건에서 시험을 행한 후의 평면도에 대한 결과를 아래의 표 1에 나타낸다. 표 1에서 Cu계 복합 재료를 냉각 플레이트로서 사용한 경우에 평면도는 5㎛ 이하로 제어할 수 있었지만, 알루미늄제 금속 플레이트를 사용한 경우에는 평면도를 10㎛ 이하로 완성할 수 없었다. 또한 온도 변화에 의해 30㎛ 평면도가 변화하였다.
[표 1]
No 테스트명 온도 사이클조건 평가 결과
평면도-시험전 평면도-시험후 용사막의 박리
1 실시예1 상온→120℃ 5hr→상온50사이클 분위기 대기중 3㎛凸 1㎛凸 박리 없음
2 실시예1 상온→80℃ 5hr→상온100사이클 분위기 대기중 1㎛凹 2㎛凸 박리 없음
3 실시예2 상온→120℃ 5hr→상온50사이클 분위기 대기중 4㎛凸 5㎛凸 박리 없음
4 실시예3 상온→120℃ 5hr→상온100사이클 분위기 대기중 2㎛凹 4㎛凹 박리 없음
비교예 비교예 상온→120℃ 5hr→상온50사이클 분위기 대기중 20㎛凸 50㎛凸 -
또한 아래의 표 2는 상대 밀도와 단조 비율을 변화시켜 누출량을 조사한 결과를 나타낸 것으로, 비교예⑥에서 단조비율을 높이더라도 상대 밀도가 95%까지 저하되면 누출량이 많아지고, 비교예⑤, ⑦에서 상대 밀도를 높이더라도 단조 비율이 낮으면 누출량이 많아지는 것을 알 수 있다.
그리고 실시예 ①∼⑥으로 부터는 누출량을 10-9 대까지 낮추기 위해서 상대 밀도 97%이상, 단조 비율 5%를 동시에 만족시켜야 한다는 것을 알 수 있다.
[표 2]
시험품명칭 상대밀도 단조비율 누출량(Pa·㎥/sec) 평가결과 비고
비교예 12%Cu-88%W 96% 0 1.0*10-7이상 NG
10%Cu-3%Ni-87%W 96% 0 4.3*10-7이상 NG
14%Cu-86%W 98.7% 0 2.0*10-8이상 NG
10%Cu-3%Ni-85%Mo 95% 0 7.8*10-7이상 NG
10%Cu-3%Ni-87%W 99.2% 0 8.3*10-8이상 NG HIP처리(HIP전의 상대밀도 96%)
12%Cu-88%W 95% 30% 6.2*10-7이상 NG
12%Cu-3%Ni-85%Mo 99% 3% 5.0*10-8이상 NG
본발명 12%Cu-88%W 99% 20% 5.4*10-10이하 OK
10%Cu-3%Ni-87%W 99.3% 30% 2.0*10-9이하 OK
12%Cu-3%Ni-85%Mo 97.0% 10% 7.5*10-9이하 OK
15%Cu-85%Mo 99.9% 50% 1.0*10-10이하 OK
10%Cu-3%Ni-85%Mo 98.3% 5% 7.5*10-9이하 OK
10%Cu-3%Ni-85%Mo 99.8% 40% 2.1*10-9이하 OK
단조 비율 = (단조 전의 두께 - 단조 후의 두께)/단조전의 두께 * 100
*시험 방법 : 후드법
*합격 레벨 : 1.0*10-8(Pa·㎥/sec)이하
이상에 설명한 바와 같이 본 발명에 관한 정전 척 모듈에 의하면, 냉각 플레이트의 재료로서 단조한 Cu계 복합 재료를 사용하였으므로, 냉각 효과가 높고, 표면의 평면도 변화가 작고, 순수(純水)의 통수(通水) 시험에 의하여도 부식하지 않고, 또한 관통 누출이 없는 정전 척 모듈을 얻을 수 있다.

Claims (7)

  1. 알루미나제 정전 척 플레이트와 냉각 플레이트를 접합하여 이루어지는 정전 척 모듈에 있어서, 상기 냉각 플레이트의 소재는 Cu-W, Cu-W-Ni, Cu-Mo, Cu-Mo-Ni 등의 Cu계 복합 재료를 단조(鍛造) 가공한 것인 것을 특징으로 하는 정전 척 모듈.
  2. 제 1 항에 있어서, 상기 Cu계 복합 재료를 단조 가공한 냉각 플레이트는 이론(理論) 밀도비(密度比) 97% 이상으로, 제조 공정에서 5% 이상의 단조 가공이 실시되어 있는 것을 특징으로 하는 정전 척 모듈.
  3. 제 1 항 또는 제 2 항에 있어서, 상기 냉각 플레이트의 열 팽창률과 알루미나제 정전 척 플레이트의 열 팽창률의 차가 2 × 10-6/℃ 이하인 것을 특징으로 하는 정전 척 모듈.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서, 상기 냉각 플레이트의 통수로(通水路) 표면에는 도금, PVD, CVD 등의 방법에 의해, Ni, Cu, Cr, Ti 또는 Sn의 박막이 형성되어 있는 것을 특징으로 하는 정전 척 모듈.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서, 상기 냉각 플레이트와 알루미나제 정전 척 플레이트를 접합한 후에 평면도 5㎛ 이하가 될 때까지 정전 척 플레이트 표면이 고정도(高精度) 가공되어 있는 것을 특징으로 하는 정전 척 모듈.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 알루미나제 정전 척 플레이트 외주 하단은 언더 컷(under-cut)되고, 이 언더 컷된 부분에 연속하도록 냉각 플레이트의 외주면을 덮는 알루미나막이 형성되어 있는 것을 특징으로 하는 정전 척 모듈.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 기재된 정전 척 모듈에서 냉매로서 순수(純水)를 사용하는 것을 특징으로 하는 냉각 시스템.
KR1020047008569A 2001-12-04 2002-12-03 정전 척 모듈 및 냉각 시스템 KR20050044685A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001369957A JP4493251B2 (ja) 2001-12-04 2001-12-04 静電チャックモジュールおよび基板処理装置
JPJP-P-2001-00369957 2001-12-04

Publications (1)

Publication Number Publication Date
KR20050044685A true KR20050044685A (ko) 2005-05-12

Family

ID=19179268

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047008569A KR20050044685A (ko) 2001-12-04 2002-12-03 정전 척 모듈 및 냉각 시스템

Country Status (7)

Country Link
US (1) US7615133B2 (ko)
JP (1) JP4493251B2 (ko)
KR (1) KR20050044685A (ko)
CN (1) CN1299345C (ko)
AU (1) AU2002349752A1 (ko)
TW (1) TWI298524B (ko)
WO (1) WO2003049180A1 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4107643B2 (ja) * 2002-07-23 2008-06-25 日本碍子株式会社 接合体の製造方法
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
CN100481369C (zh) * 2005-04-28 2009-04-22 信越工程株式会社 静电夹盘装置
JP4768699B2 (ja) * 2006-11-30 2011-09-07 キヤノンアネルバ株式会社 電力導入装置及び成膜方法
JP5331519B2 (ja) * 2008-03-11 2013-10-30 日本碍子株式会社 静電チャック
JP5201527B2 (ja) 2008-03-28 2013-06-05 東京エレクトロン株式会社 静電チャック、及びその製造方法
JP5348439B2 (ja) * 2011-09-30 2013-11-20 Toto株式会社 静電チャック
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
JP6424049B2 (ja) * 2014-09-12 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6670189B2 (ja) * 2016-06-27 2020-03-18 新光電気工業株式会社 ベースプレート構造体及びその製造方法、基板固定装置
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US20230021360A1 (en) * 2019-12-31 2023-01-26 Asml Holding N.V. Systems and methods for manufacturing a double-sided electrostatic clamp

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4260432A (en) 1979-01-10 1981-04-07 Bell Telephone Laboratories, Incorporated Method for producing copper based spinodal alloys
DE3321321A1 (de) * 1982-06-19 1983-12-22 Ferranti plc, Gatley, Cheadle, Cheshire Elektrische schaltungsanordnung
CH660696A5 (en) 1983-07-28 1987-06-15 Bruss Polt I Apparatus for the production of microwire
US4748136A (en) * 1986-10-30 1988-05-31 Olin Corporation Ceramic-glass-metal composite
US5042257A (en) * 1989-05-01 1991-08-27 Kendrick Julia S Double extruded heat sink
JPH04333265A (ja) * 1991-05-08 1992-11-20 Sumitomo Electric Ind Ltd 半導体放熱基板材料の製造方法
EP0628644B1 (en) * 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US6544379B2 (en) * 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
US5676205A (en) * 1993-10-29 1997-10-14 Applied Materials, Inc. Quasi-infinite heat source/sink
JPH07297265A (ja) 1994-04-26 1995-11-10 Shin Etsu Chem Co Ltd 静電チャック
US5548470A (en) * 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
JPH08125002A (ja) * 1994-10-25 1996-05-17 Nippon Cement Co Ltd 静電チャック及びその製造方法
JP3493844B2 (ja) 1994-11-15 2004-02-03 住友電気工業株式会社 半導体基板材料とその製造方法及び該基板を用いた半導体装置
JPH08316299A (ja) 1995-03-14 1996-11-29 Souzou Kagaku:Kk 静電チャック
US5644467A (en) * 1995-09-28 1997-07-01 Applied Materials, Inc. Method and structure for improving gas breakdown resistance and reducing the potential of arcing in a electrostatic chuck
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
DE69716222T2 (de) 1996-06-05 2004-09-16 Sumitomo Light Metal Industries Ltd. Herstellungsverfahren zum zinnplattieren einer kupferröhre von innen
US6085830A (en) * 1997-03-24 2000-07-11 Fujikura Ltd. Heat sink, and process and apparatus for manufacturing the same
US6271585B1 (en) * 1997-07-08 2001-08-07 Tokyo Tungsten Co., Ltd. Heat sink substrate consisting essentially of copper and molybdenum and method of manufacturing the same
JPH1126564A (ja) 1997-07-08 1999-01-29 Sumitomo Metal Ind Ltd 静電チャック
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
JPH11297804A (ja) * 1998-04-10 1999-10-29 Shin Etsu Chem Co Ltd 静電チャック
US6021854A (en) * 1998-04-24 2000-02-08 Scarola; Ralph Adapter handle for power tool
US6106682A (en) * 1998-05-22 2000-08-22 Cvc Products, Inc. Thin-film processing electromagnet for low-skew magnetic orientation
JP2000031253A (ja) * 1998-07-10 2000-01-28 Komatsu Ltd 基板処理装置及び方法
JP3980187B2 (ja) * 1998-07-24 2007-09-26 日本碍子株式会社 半導体保持装置、その製造方法およびその使用方法
JP2000313905A (ja) * 1999-04-28 2000-11-14 Hitachi Ltd 複合材料及び各種用途
JP2001164304A (ja) * 1999-12-07 2001-06-19 Denso Corp シール面を有する金属焼結体及びその製造方法
JP2001223261A (ja) * 2000-02-07 2001-08-17 Hitachi Ltd 静電チャック及び静電吸着装置
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
US6529686B2 (en) * 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods

Also Published As

Publication number Publication date
JP4493251B2 (ja) 2010-06-30
WO2003049180A1 (fr) 2003-06-12
CN1599953A (zh) 2005-03-23
US7615133B2 (en) 2009-11-10
JP2003174079A (ja) 2003-06-20
TWI298524B (en) 2008-07-01
US20050127619A1 (en) 2005-06-16
AU2002349752A1 (en) 2003-06-17
TW200301001A (en) 2003-06-16
CN1299345C (zh) 2007-02-07

Similar Documents

Publication Publication Date Title
KR20050044685A (ko) 정전 척 모듈 및 냉각 시스템
US6768079B2 (en) Susceptor with built-in plasma generation electrode and manufacturing method therefor
US5673167A (en) Support platen with removable insert useful in semiconductor processing apparatus
JP3870824B2 (ja) 被処理物保持体、半導体製造装置用サセプタおよび処理装置
US6272002B1 (en) Electrostatic holding apparatus and method of producing the same
US9252041B2 (en) Electrostatic chuck
US7326886B2 (en) Wafer support member and semiconductor manufacturing system using the same
EP2960933B1 (en) Sample holding tool
EP1430007B1 (en) Brazeable matallizations for diamond components
US6689984B2 (en) Susceptor with built-in electrode and manufacturing method therefor
JP3937952B2 (ja) 放熱回路基板とその作製方法
JP2006127900A (ja) 環状ヒータ
JP2006313919A (ja) 被処理物保持体、半導体製造装置用サセプタおよび処理装置
JP2006179897A (ja) 被処理物保持体、半導体製造装置用サセプタおよび処理装置
KR100934827B1 (ko) 처리 장치용 워크피스 지지체 및 이를 사용하는 처리 장치
JP2000021962A (ja) 静電吸着装置
JP2003086663A (ja) 被処理物保持体、処理装置および半導体製造装置用セラミックスサセプタ
JP4403531B2 (ja) 静電チャックユニットの製造方法
JP4184829B2 (ja) 静電チャックの製造方法
JP4436560B2 (ja) ウエハ支持部材
JP2008153701A (ja) 静電チャック
JPH0969555A (ja) 静電チャック
US20230339059A1 (en) Electrostatic chuck apparatus
US20010026840A1 (en) Method of metalizing a semiconductor power device ceramic member
JP4588197B2 (ja) 真空チャンバー電力供給用電流導入端子

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application