KR20030008214A - 위상경계마스킹을 사용하여 수정된 조명으로 묘화하는 방법 - Google Patents

위상경계마스킹을 사용하여 수정된 조명으로 묘화하는 방법 Download PDF

Info

Publication number
KR20030008214A
KR20030008214A KR1020027006249A KR20027006249A KR20030008214A KR 20030008214 A KR20030008214 A KR 20030008214A KR 1020027006249 A KR1020027006249 A KR 1020027006249A KR 20027006249 A KR20027006249 A KR 20027006249A KR 20030008214 A KR20030008214 A KR 20030008214A
Authority
KR
South Korea
Prior art keywords
illumination
phase inversion
light
distance
mask
Prior art date
Application number
KR1020027006249A
Other languages
English (en)
Other versions
KR100579637B1 (ko
Inventor
스미스브루스더블유.
피터슨존에스.
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20030008214A publication Critical patent/KR20030008214A/ko
Application granted granted Critical
Publication of KR100579637B1 publication Critical patent/KR100579637B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/42Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
    • G02B27/46Systems using spatial filters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/701Off-axis setting using an aperture
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70325Resolution enhancement techniques not otherwise provided for, e.g. darkfield imaging, interfering beams, spatial frequency multiplication, nearfield lenses or solid immersion lenses
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 발명에 따른 투영리소그래피시스템(도 8)은 경계양각피처(60)을 갖는 반투명 기판(61)과 결합하여 크로스-4극 조명 패턴(도 5b)를 제공한다. 상기 피처들은 초점평면에 묘화되지 않지만, 상기 초점평면에서의 피처간 공간의 어두운 이미지를 생성하도록 서로 근접하여 이격되어 있다.

Description

위상경계마스킹을 사용하여 수정된 조명으로 묘화하는 방법{IMAGING METHOD USING PHASE BOUNDARY MASKING WITH MODIFIED ILLUMINATION}
광학 리소그래피에는 전자기 스펙트럼의 자외선 가시부이내 또는 그 부근 방사선의 투영을 통하여 양각 이미지패턴(relief image pattern)을 생성하는 것이 포함된다. 광학 마이크로리소그래피 기술은 반도체디바이스용 마이크로회로 패턴을 만드는데 수십년동안 사용되어 왔다. 접촉 또는 근접(contact or proximity) 포토리소그래피의 초기 기술은 3 내지 5㎛ 급의 회로 해상도(circuit resolution)를 제공하도록 미세화(refine)되었다. 보다 최신의 투영기술은 근접리소그래피에서 마주치게 되는 다소의 문제들을 최소화하고, 현행의 0.15㎛ 미만의 해상도를 제공하는 툴의 개발을 선도해 왔다.
반도체 디바이스 피처는 일반적으로 그것들을 패터닝하는데 사용되는 자외선방사선 파장정도를 갖는다. 현재, 노광파장은 150nm 내지 450nm, 보다 구체적으로는 157nm, 193nm, 248nm, 365nm 및 436nm 정도의 파장이다. 가장 다루기 어려운 리소그래피 피처는 0.5λ/NA에 대응하는 크기 부근 또는 이하로 내려가는 것들이며, 여기서, λ는 노광파장이고 NA는 노광 툴의 대물렌즈의 개구수이다. 예를 들어, 0.60NA 대물렌즈를 포함하는 248nm 파장의 노광시스템에서는, 0.18㎛ 이하의 피처 묘화가 최근기술로 고려된다. 도 1은 투영 노광시스템의 구성을 나타내고 있다. 이러한 노광시스템은 (스테퍼 툴이라 칭해지는) 스텝-앤드-리피트 모드(step-and-repeat mode) 또는 스텝-앤드-스캔모드에서 사용될 수 있다. UV 또는 진공 자외선(VUV)원(vaccum ultraviolet(VUV) source)(1)은 콘덴서렌즈시스템(3) 및 플라이스아이마이크로렌즈어레이(fly's eye microlens array)(4)를 사용하는 조명 시스템(2)을 통하여 방사선을 통과시키는데 사용된다. 어퍼처(5)는 정해진 영역에 조명 프로파일을 형상화하며, 방사선은 거울(6)로부터 반사되어 포토리소그래피 마스크(8)을 조명하기 위하여 조명렌즈(7)를 통과한다. 포토마스크(8)의 조명시, 포토마스크(8)의 공간주파수 디테일(spatial frequency detail)에 의하여 분포되는 회절장(11)은 포토레지스트가 코팅된 반도체기판(10)에 묘화되도록 대물렌즈(9)를 통해 지향된다. 이러한 노광시스템은 대물렌즈(9)를 구비하여 포토마스크(8)로부터 적어도 0-차보다 높은 회절장을 수집함으로써 이미지를 형성한다. 광학시스템에서 묘화될 수 있는 최소피처에 대한 절대한계치는 0.25λ/NA에 해당한다. 또한, 이러한 노광 툴을 위한 초점심도(DOF)는 +/- k2λ/NA2로 정해지며, 여기서, k2는 일반적으로 0.5 부근의 값을 취하는 공정인자(process factor)이다.
기하학적 크기가 0.5λ/NA 아래로 계속해서 줄어듦에 따라, 적절한 충실도 및 초점심도로 묘화시키기 위한 해상도향상방법이 요구되고 있다. 최근 수년에 걸쳐 발전되어 온 이러한 해상도향상방법은 더욱 짧은 노광파장 및 더욱 큰 개구수로 가능한 개선에 추가적 개선이 가능해지게 되었다. 현행의 해상도개선기술의 예로는 오프-엑시스 조명(off-axis illumination : OAI) 및 위상반전마스킹(phase-shift masking : PSM)이 있다.
투영 묘화시스템에 OAI를 사용함으로써, 반드시 원형일 필요가 없는 조명어퍼처를 고려함으로써 이미지의 미세화가 수행된다. 0차 및 1차 회절차수가 광학 축의 대체측(alternative side)상에 분포되도록 하는 각도로 조명이 마스크상에 비스듬히 입사되는 시스템에서는, 묘화를 위해서는 2개의 회절차수로 충분하다. 소정의 파장, NA 및 피처크기를 위하여 독특하게 위치한 2개의 원형 극(2극(dipole))을 사용하여 조명각이 선택될 수 있다. 이는 예를 들어 도 2의 종래기술로 나타낼 수 있으며, 상기 도 2에는 조명의 정규화된 각도 분포(sinθ/NA)가 나타나 있다. 2개의 어퍼처(20)에 기인한 상기 조명각도는 조밀 피처(dense feature)에서sinθ=(0.5λ/p)로 선택될 수 있으며, 여기서,p는 피처 피치이다. 2극자 오프-엑시스 조명의 가장 두드러진 효과는 초점심도를 고려할 때에 실현된다. 이 경우에, 0차 및 1차 회절차수는 디포커스(defocus)를 고려하기 때문에 종래의 조명과 비교하면 더욱 유사한(similar) 경로길이를 이동한다.
마스크 기하학적 구조의 방향으로 방향을 잡은 2극 조명을 사용하는 오프-엑시스 조명은 묘화 성능을 가장 뚜렷하게 향상시킬 수 있다. 이는, 대물렌즈 퓨필의최외각 에지에서 마스크의 회절에너지를 투영하도록 하기 위해서는 비스듬한 조명만이 최적화된 조명각으로 설계될 수 있기 때문이다. 주파수배가(frequency doubling)는 (극 크기가 0에 접근하고 점원의 거동이(point source behavior)이 발생하는 경계(limit)에서) 가능해지며, (대물렌즈 퓨필의 반경방향으로의 사용은 외측 에지 부근의 좁은 영역으로 제한되기 때문에) 최대의 초점심도가 얻어질 수 있다. X 및 Y(또는 수평 및 수직) 양방향의 기하학적 구조특성이 고려되는 경우에 2극자 조명에 의한 문제가 발생한다. 실제로는, 가느다란 1개의 빔 또는 한 쌍의 빔을 허용하도록 조명을 제한함으로써 0 세기(zero intensity)에 도달한다. 또한, X-Y 평면내에서 1 방향을 따라 방위를 잡는 피처로 묘화가 제한된다. 이를 해결하기 위해서, 얼마간으로 한정된 세기를 허용하도록 한정된 링 폭을 요하는 각도로 조명을 전달하는 고리모양 또는 링모양 분포가 통상적으로 채택되어 왔다[예를 들어, H.H.Hopkins, Proc. Royal Soc. A, Vol. 217, 408-432(1953) 참조]. 이에 따른 초점심도는 이상적인 경우의 초점심도보다는 더 작지만 전체 원형 어퍼처에 걸쳐 개선이 이루어질 수 있다.
대부분의 집적회로의 응용에서, 피처는 X 및 Y 방향으로만 제한되며, 4극(즉, quadrupole) 구성이 더욱 적합해질 수 있다(예를 들어, 미국 특허 제5,305,054호 참조). 이들 경우에 있어서, 마스크 피처의 두 직교방위를 수용하기 위해서는 4극식 조명이 요구된다. 이러한 4극 조명을 위한 해결책은 X 및 Y 마스크 피처에 대하여 45°방위를 가진 대각선 위치에 극을 제공하는 것이다. 이것은 도 3의 종래기술에 나타나 있다. 여기서, 각각의 조명극(30)은 모든 마스크 피처에서 오프-엑시스되어 있고, X 및 Y로 방위를 잡은 피처에 대한 이미지가 향상된다. 이 4극 조명에서의 최대각은 대각방향의 축상에서의 극의 배치때문에 2극 조명에 비해 제한적이다. 2 제곱근 인자(factor of the square root of two)에 의하여, 최대각은 2극 구성의 최대각보다 더 작다. 상기 2 제급근의 인자에 의하여, 2극식 오프-엑시스 조명과 비교하여 해상도 또는 묘화포텐셜(imaging potential) 또한 감소되었다. 오프-엑시스 조명에 대한 상기 대각선방향으로 방위를 잡은 4극 접근법 및 약Gaussian-pole 설계(weak Gaussian-pole 설계)를 포함하는 상기 접근법의 변형례가 광학마이크로리소그래피 응용에서 수년동안 사용되어 왔다. 하지만, 상기 접근법을 사용하여 (1:1의 라인 대 공간비 기하학적 구조에서) 0.4λ/NA 미만의 묘화가 입증된 바는 없다.
위상반전 마스킹이 리소그래피 묘화를 개선시키기 위하여 수년동안 사용되어 왔다[예를 들어, Levenson et al., "Improving Resolution in Photolithography with a Phase-Shifting Mask", IEEE Transactions on Electron Devices, vol. ED-29, No.12, p.1828-, Dec. 1982 참조]. 종래의 바이너리 마스킹을 사용하여, 마스크기능에서는 진폭의 제어만 고려되고, 위상 정보는 변화되지 않는 것으로 가정한다. 마스크에서의 위상정보의 추가적인 조작을 통해 묘화성능을 개선시킬 수 있다. 가간섭성 조명(coherent illumination)에서 마스크의 교번 마스크 개구부에 π "위상반전기(phase shifter)"가 추가되는 경우에, 대물렌즈 퓨필은 요구되는 회절차수를 획득하기 위하여 필요로 하는 소요 개구수를 50% 감소시킨다. 대안적으로, 소정의 렌즈의 개구수에서, 상기의 대안적인 어퍼처 위상반전기를 활용하는 마스크는종래의 바이너리 마스크를 사용할 때 가능한 크기의 1/2의 피처를 묘화할 수 있다. 부분적인 가간섭성(coherence)이 고려됨에 따라, 비간섭성 조명에 대하여 바이너리 마스크에 걸쳐 반전된 위상에 대해서는 개선이 이루어지지 않는 지점에서는 상기 위상반전 기술의 효과가 줄어든다. 마스크상의 위상 반전 교번 피처의 상기 기술은 적절하게는 교번 위상 반전 마스킹으로 칭해진다. 위상 정보는 π위상 반전에 대응하는 두께의 마스크 기판으로부터 "광학" 재료를 가감하는 것 중 어느 하나로 변경된다.
위상반전마스킹은 투영리소그래피의 해상도 및 초점심도를 향상시키는데 사용되어 왔다. 무크롬(chromeless) 또는 위상반전마스크를 포함하는 위상반전마스크의 몇가지 형식이 공지되어 있으며, 도 4의 종래기술에 나타나 있다[K Toh et al. "Chromless Phase-Shifted Masks: A New Approach to Phase-Shifting Masks", SPIE, vol. 1496, p. 27, 1990]. 이 경우에, 큰 위상패턴의 경계는 묘화평면의 국부화된 소멸세기영역(localized destructive intensity regions)의 제작을 통하여 단일의 어두운 묘화 피처(dark imaging features)로서 활용된다. 체커보드(checkerboard) 및 기타 반복적 구조의 사용을 통하여 많은 단일 위상 에지를 마스크상에 매우 근접하게 배치시킴으로써 전체적으로 어두운 영역이 생성되었다. 미세피처해상도(0.4λ/NA 미만)는 비고립된기하학적구조(non-isolated geometry)를 묘화하기 때문에 상기 단일위상경계접근법을 사용하여 입증하는 것은 어려워진다. 현저한 사이징 바이어스(sizing biases)가 또한 필요하게 되었다.
본 출원은 1999년 11월 15일 출원된 미국 가출원 번호 제60/165,456호의 우선권을 주장한다.
본 발명은 반도체 디바이스의 제조에 사용하는 마스크 이미지를 투영하기 위하여, 광학노광시스템을 사용하는 마이크로리소그래피의 방법에 관한 것이다.
도 1은 투영 리소그래피 시스템의 개략도,
도 2는 2극 조명의 조명분포도,
도 3은 마스크 기하학적 구조의 방위에 대한 대각선방향의 위치상에 조명극이 자리하는 4극 조명의 조명분포도,
도 4는 위상-에지 위상 반전 마스킹의 개략 설명도,
도 5a는 조명 축상의 조명극을 갖는 크로스-쿼드 4극 조명의 개략적인 표현도,
도 5b는 대물렌즈 퓨필에서의 크로스-쿼드 조명으로부터의 회절에너지의 분포를 나타낸 도면,
도 6a는 193nm 파장에서 대략 2000 Å인 π 위상 반전 두께로 마스크 기판을에칭함으로써 경계가 형성되는 이중-경계 시프팅 위상 반전 마스크의 개략도,
도 6b는 이중-경계 시프팅 위상-반전 마스크의 묘화로부터 생성되는 정규화된 묘화 전기장의 도면,
도 6c는 이중-경계 시프팅 위상-반전 마스크의 묘화로부터 생성되는 정규화된 묘화 세기의 도면,
도 7은 크로스-쿼드 조명기의 분포도,
도 8은 리소그래피 툴에 본 발명을 실시한 도면,
도 9는 크로스-쿼드 조명을 형성하는 빔-형상 방법을 나타내는 도면,
도 10은 크로스-쿼드 조명을 받는 이중-경계 시프팅 위상-반전 마스크의 모의실험한 에이리얼의 이미지 세기 플롯을 나타낸 도면으로, 1:1.5, 1:2, 1:1.25 및 1:3의 듀티비(duty ratio)를 갖는 70nm 피처의 4가지 플롯을 나타내고, 조명파장은 193nm이고 렌즈 개구수는 0.60이며, 상기 플롯은 0%(바이너리, 위상반전이 없는 상태(non-phase shifted situation)에 해당)로부터 100%로 위상반전된 피처의 투과를 증가시켜 이미지변조(image modulation)를 극적으로 증가시키는 방법을 나타내고 있는 도면,
도 11a는 NILS 측정을 통하여 이중-경계 시프팅 위상-반전 마스크를 사용하여 크로스-쿼드 접근법이 80nm의 피처를 어떻게 묘화시키도록 하는가를 나타내는 에이리얼 이미지를 나타내는 도면,
도 11b는 NILS 측정을 통하여 이중-경계 시프팅 위상-반전 마스크를 사용하여 크로스-쿼드 접근법이 크롬 마스킹 및 상기 크로스-쿼드 조명과 어떻게 비교되는지를 나타내는 에이리얼 이미지를 나타내고 있는 도면,
도 11c는 NILS 측정을 통하여 이중-경계 시프팅 위상-반전 마스크에 의한 크로스-쿼드 접근법이 종래의 조명의 이중-경계 시프팅과 어떻게 비교되는지를 나타내는 에이리얼 이미지를 나타내고 있는 도면,
도 12는 크로스-쿼드 접근법을 이용하는 80nm 기하학적 구조를 위한 합성(composite) NILS 플롯 및 다양한 듀티비를 갖는 피처의 성능을 조정하기 위하여 마스크 바이어스를 사용하는 이중-경계 시프팅 위상-반전 마스크를 나타내고 있는 도면,
도 13은 크로스-쿼드 접근법을 사용하는 70nm 기하학적 구조를 위한 합성 NILS 플롯 및 다양한 듀티비를 갖는 피처의 성능을 조정하기 위하여 마스크 바이어스를 이용하는 이중-경계 시프팅 위상-반전 마스크를 나타내고 있는 도면,
도 14는 0%로부터 100%까지 증가되는 경계사이에서 투과되는 크로스-쿼드 조명된 이중-경계 시프팅 위상-반전 마스크의 모의실험된 에이리얼 이미지의 세기 플롯을 나타낸 도면으로, 1:1.5, 1:2, 1:2.5 및 1:3의 듀티비를 갖는 70nm 피처의 4가지 플롯을 나타내고, 조명파장은 193nm이고 렌즈 개구수는 0.60이며, 상기 플롯은 0%로부터 100%로 위상 반전된 피처의 투과를 증가시키는 것이 이미지변조를 얼마나 극적으로 개선시키는지를 나타내고 있는 도면,
도 15는 수평 및 수직방향으로 방위를 잡은 기하학적 구조를 위한 오프-엑시스 조명에 대응하는 대각방향의 위치에 배치된 정규 분포된 4개의, 원형 세기 구역으로 이루어지는 조명어퍼처에서 디터링된(ditherd) 바이레벨(bievel) 마스킹 셀의x-y분포의 플롯을 나타내고 있는 도면,
도 16은 도 15에 도시된 x-y 분포의 3차원 플롯을 나타내고 있는 도면이다.
종래기술의 이미지 해상도 향상이 갖는 상술된 문제에 비추어, 리소그래피 해상도를 0.4λ/NA 이하로 하기 위한 방법이 필요하다. 또한, 상기 기술은 0.4λ/NA 이하의 해상도를 달성하기 위하여 각각의 관련된 불리한 문제를 피하기 위한 방법으로 오프-엑시스 조명과 위상반전마스킹을 결합한 리소그래피 묘화방법 및 조명 및 마스킹의 상기 결합없이는 달성될 수 없는 결과를 낳는 방법을 필요로 한다. 본 발명은 묘화형성시에 두 에지가 단일의 작고 어두운 영역을 형성하도록 두 위상반전 경계 또는 에지가 매우 근접하여 있는 위상반전 마스크를 제공한다. 또한, 본 발명은 묘화시 두 에지가 단일의 작고 어두운 영역을 형성하도록 두 위상반전 경계 또는 에지가 매우 근접하여 있는 위상반전마스크를 수용하기 위해서 축상에 4개의 극을 위치시키는 오프-엑시스 상태의 조명을 제공하며, 여기서, 만족스러운 고도의 이미지 콘트라스트(image contrast)가 묘화형성에 존재한다.
본 발명은 두 직교방향을 따라 방향을 잡은 미세 리소그래피 피처용 묘화 방법을 제공한다. 그것은 4개의 별도로 국부화된 영역을 갖는 조명원을 포함하며, 각각의 영역은 상기 영역을 둘러싸는 상기 조명부분보다 더 높은 투과율을 갖는다. 상기 영역은 리소그래피 패턴의 두 방위 축상의 위치 및 미세 패턴 디테일의 빈도에 해당하는 분리 거리에 배치된다. 본 발명의 마스크는 미세 위상반전 피처를 형성하기 위해서 상기 기판을 에칭함으로써 상기 기판내에 형성되는 위상반전 및 투명기판을 포함하는 위상반전마스크이다. 상기 위상반전 피처 경계는 밀접하게 이격되어 있어 각각의 피처의 묘화평면에서의 소멸 이미지 세기(destructive image intensity)가 개별적으로는 분해되지 않는 대신, 상기 조명원을 사용하여 이미지형성시 상기 두 경계로부터의 소멸 이미지 세기의 오버랩에 의한 단일의 어두운 영역을 생성한다. 상기 마스크는 투명하고 위상반전이 일어나는 두께의 재료로 이루어지는 투명한 위상반전층을 포함할 수 있다. 상기 위상반전층은 위상반전 피처를 형성하기 위해서 상기 층을 에칭함으로써 패터닝되고 상기 조명원과 결합하여 사용된다.
상술된 발명에서, 4극조명 접근법이 사용된다. 그것은 X 및 Y로 방위를 잡은 마스크 기하학적 구조의 오프-엑시스 조명을 위한 축방향의 위치에 배치된 4개의 극을 생성하며, "크로스-쿼드(cross-quad)" 4극조명으로 칭한다. 이 조명방법에서는 소정 축상의 두 극의 쌍이, 대응하는 피처의 방위를 위한 최적의 오프-엑시스 조명을 생성시키는 반면 직교방위에 대해서는 바람직하지 않은 조명을 생성시키기 때문에 묘화의 향상을 위해서 종래의 마스킹 기술을 사용하는 것은 적절하지 못하다. 상기 문제는, 도 5a 내지 도 5c에 도시된 바와 같이, 마스크 조명 및 그에 따른 회절효과에 대하여 고려해보면 이해할 수 있다. 크로스-쿼드 조명의 일례가 도 5a에 도시되어 있으며, 여기서, 극(40)은 조명축상에 배치된다. 도 5b의 하나의 마스크 피처 방위를 위한 회절장에서 도시된 바와 같이, 2개의 최적화된 오프-엑시스 조명극의 쌍은 대물렌즈 퓨필(52)내에서 소정의 오프-엑시스 조명 및 소정의 회절에너지(50) 분포를 발생시킨다. 하지만, 대향하는 방위에서의 극은 만족스럽지 못한 조명 및 회절에너지(53)의 분포를 제공한다. 종래 마스크 피처에서 이러한 만족스럽지 못한 조명은 부분적인 가간섭성이 낮은, 즉, 미세피처의 기하학적 구조에 잘 맞지 않는 조건으로서 거동한다. 상기 문제는 상기 조명에 대한 2개의 최적화되지 않은 극의 기여분 존재하기 때문에 더욱 악화된다. 이들 최적화되지 않은 극으로부터 대물렌즈 퓨필(52)로의 회절에너지(53)의 투영을 고려하면, 회절진폭(diffraction amplitude)은 최적의 오프-엑시스 조명(50)으로부터 생성되는 퓨필 에지에서의 회절에너지의 진폭의 2배이다. 따라서, 이러한 형태의 4극 오프-엑시스 조명은 종래의 마스킹을 위한 대각선방향의 4극 접근법 또는 기타 접근법에 유리하도록 회피될 것이다.
하지만, 크로스-쿼드 4극 접근법에는 고유의 장점이 있는데, 즉, 대각선방향의 4극 접근법에 의하여 2 제곱근 인자가 존재하지 않는다는 점이다. 상기 인자는 2극식 조명과 비교하여 대각선방향의 4극의 묘화 포텐셜을 제한한다. 크로스-쿼드 4극 조명은 2극식 조명과 동일한 방식으로 오프-엑시스 조명각을 분포시키고 등가의 회절에너지 분포가 생기도록 한다. 상기 포텐셜을 증가시키기 위해서, 본 발명자들은 크로스-쿼드 접근법이 2개의 미세 위상 시프트 피처 경계가 근접하게 발생되는 위상 경계 위상 반전 마스킹(phase boundary phase shift masking)의 독특한 조건과 결합할 수 있다는 것을 발견했다. 본 발명자들은 이 위상 마스킹방법을 "이중-경계 시프팅(dual-boundary shifting)"으로 칭한다. 크로스-4극 및 위상 반전 마스크는 유용한 조명을 위한 회절에너지를 얻는다.
보다 구체적으로, 본 발명자들은, 단일의 조명 축을 따르는 극이, 동일한 반경방향의 위치에서 광학 축의 대향측상에 분포되고 오버랩하는 회절차수를 정하도록 설계된 크로스-쿼드 조명을 사용하여 작은 하위-파장(sub-wavelength) 피처가 묘화되는 투영묘화법(projection imaging method)을 발명하였다. 상기 극은 sinθ=λ/(2p)에 대응하는 위치에서 전조명 퓨필에 대하여 중심부에 위치하며, 여기서, p는 마스크내의 가장 가까운 피처들간의 피치 또는 간격이다. 어퍼처필터링 디바이스(미국특허출원 09/422,398 참조), 어퍼처평면조명필터링에서의 금속 마스킹접근법(미국특허 5,305,504 참조), 멀티빔 스플리터 접근법(미국특허 5,627,625 참조) 또는 회절광학요소접근법(미국특허 5,926,257 또는 미국특허 5,631,721 참조)을 사용하여 상기 조명이 달성된다. 상기 조명 방법은 투영묘화시스템의 회절한계보다 더 작은 분리간격으로 위상에지를 위치시킴으로써 무크롬 마스크 피처가 설계되는 이중-경계 시프팅 위상-반전 마스킹(dual-boundary shifting phase-shift masking)과 결합된다.
본 발명에 따른 바람직한 실시예는 조명의 오프-액시스모드와 결합된 위상반전 마스크이다. 그 결과는 기술만으로, 즉 마스킹의 성능을 기초로 예상될 수 있는 기술만으로 또는 조명만으로 실현될 수 있는 범위를 넘어서 향상된다. 위상반전 마스크는 무크롬방식이며, 위상 에지피쳐들은 서로 근접하여, 묘화시스템의 회절한계에 더 가깝게 위치된다. 본 발명에 따른 무크롬 마스크는 투명하여 마스크상의 위상 에지피쳐가 이웃하는 영역간의 투명기판의 두께의 변화를 통하여 위상반전을 하도록 한다.
본 위상반전은 단일층 또는 복수의 층을 포함하는 투명한 위상 시프팅필름을 구비한 기판을 사용하여 생성될 수도 있고, 이 경우에 굴절률 및 필름의 두께는 이웃하는 영역간의 위상반전을 하도록 한다. 일예에서, 위상 에지피쳐는 193nm파장 및 0.60NA 묘화시스템에 대하여 70nm와 90nm 사이의 세퍼레이션에 놓여진다. 도면 6a 내지 6c는 마스크구조 및 결과이미지를 나타내는데 사용된다. 도 6a는 웨이퍼 또는 다수의 웨이퍼상의 대략 0.2λ/NA 내지 0.3λ/NA 영역에서의 간격에 해당할 서브-파장 세퍼레이션 간격(D)에서 마스크기판(61)으로 에칭된 2개의 위상 에지피쳐(60)를 나타내고, 여기서 현행 193nm 리소그래피시스템에 있어서, 예를 들어 값이 4인 노광툴의 감소비(reduction ratio)에 의하여 배수(multiple)가 결정된다. 마스크 제작은 박막 패터닝 기술의 석영에칭을 사용하여 수행된다. 예를 들어, 위상반전이 기판상으로 에칭되는 경우에, 0.25인치의 용융실리카 마스크기판이 사용되고, 크롬 마스킹층으로 코팅된다. 크롬코팅의 두께는 대략 1000Å이고, RF마그네트론 스퍼터링기술을 사용하여 퇴적된다. 전자빔 레지스트는 대략 4500Å의 두께로 크롬위에 코팅된다. 마스크 리소그래피는 전자빔 마스크라이터가 전자빔 레지스터로 소정의 패턴을 노광시키는데 사용되는 표준방식으로 수행된다. 소정의 패턴은 리소그래피툴의 회절한계와 비교될 때, 작은 간격으로 분리되는 위상-경계를 포함한다. 쌍으로, 이들 위상-경계는 리소그래피 묘화처리시 생성되는 어두운피쳐이다. 노광후, 전자빔 레지스트 현상액이 노광된 레지스트를 현상하는데 사용된다. 크롬부식액은 레지스트내의 개방된 영역에서 크롬을 제거하는데 사용된다. SF6와 같은 플루오르계 플라즈마부식액은 레지스트 및 크롬내의 개방된 패턴을 용융실리카 기판내로 옮기는데 사용된다. 에칭깊이는 π위상반전의 결과치이다. 1.561의 굴절률을 갖는 용융실리카에 있어서, 이 에칭깊이는 1855Å이다. 마스크를 사용하는 광학노광시, 피쳐경계의 전자기효과는 소정의 두께상으로 편향을 주도록 고려할 수 있으나, 마스크상의 에칭된 영역과 에칭되지 않은 영역간의 위상반전을 달성하기 위한 목표가 남아있다. 기판이 에칭된 후, 레지스트 스트리퍼가 레지스트를 제거하는데 사용되고, 크롬부식액이 크롬을 제거하는데 사용된다.
도 6b는 마스크를 사용하는 묘화로부터 얻어진 이미지평면에서의 생성전기장을 나타낸다. 고주파수 항목의 손실은 전기장변조의 코너라운딩(coner rounding)을 발생시킨다. 도 6c는 작은 제로세기영역이 생성되는 이미지평면에서의 생성되는 세기를 나타낸다. 각각의 제로세기영역은 그들의 근접으로 인하여 균일하지 않게 분해되고, 이들 영역은 단일 어두운피쳐로서 인쇄된다.
크로스-쿼드(cross-quad)조명은 라인사이즈의 범위 및 마스크상의 미세 피처에 대한 피치값을 수용하도록 선택된다. 예로서, 마스크 피쳐 방위축과 일치하는 2개의 축의 반대측에 놓여지는 4극이 사용된다. 극은 극중심을 갖는 조명의 중심으로부터 0.80의 일루미네이터 전반경의 일루미네이터 중심거리까지와 동일한 거리에 놓여진다. 각각의 극의 반경은 0.20의 일루미네이터 전반경이다. 이 구조는 도 7에 도시된다. 본 발명은 조명 광학시스템으로의 접근을 통하여 현존하는 조명시스템에서 구현된다. 일예가 도 8에 도시된다. 광원(90)은 콘덴서 렌즈시스템을 통하여 지향되는 광빔을 발생시킨다. 이 시스템내에서, 어퍼쳐 마스크는 콘덴서 렌즈 퓨필 평면에 놓인다. 마스크(91)는 듀얼-경계 위상반전 마스크(92)를 조명하는 크로스-쿼드 세기분포로 광빔의 형상을 제어한다. 대물 렌즈(93)는 이미지를 웨이퍼 평면(95)으로 지향시킨다. 또 다른 예에서, (도 9에 도시되는)조명시스템의 빔성형 광학시스템은 소정의 크로스-쿼드 조명을 생성하도록 변경된다. 조명 광학시스템은 빔성형 광학시스템(102) 및 광학 인티그레이터(110)를 포함한다. 엑시머레이저(101)와 같은 광원은 조명에 사용된다. 빔성형 광학시스템(102)은 광원(101)으로부터 나오는 광빔을 크로스-쿼드형상으로 성형하고, 이 성형된 빔을 광학 인티그레이터(110)의 표면상으로 지향시킨다. 본 광학 인티그레이터는 예를 들어, 플라이스아이어레이(fly's eye array) 또는 1이상의 회절 광학요소로 이루어질 수 있다. 콘덴서 렌즈시스템(103)은조명을 사용하여 마스크를 조명한다. 평면(104)내에서 세기분포는 일루미네이터의 크로스-쿼드성형의 결과이고, 조명된 형상의 푸리에변환이다.조명시스템은 리소그래픽 응용분야에서 널리 사용되고, 조명시스템 설계분야의 당업자들에게 잘 알려져 있기 때문에, 빔성형 광학시스템의 상세한 설명은 여기서는 하지 않기로 한다. 빔성형 광학시스템은 프리즘, 렌즈, 추체(cone) 및 기타 유사한 구성요소를 포함할 수 있는 1이상의 광학요소를 포함할 수 있다.
마스크의 조명은 서로 함께 작용하는 2개의 증대효과를 일으킨다. 크로스-쿼드 조명은 적절한 주파수위치에 회절에너지를 배치한다. 크로스-쿼드의 2개의 비최적극(non-optimal pole)으로부터 기인하는 오프-액시스 조명의 "약화(weakening)"는 2중-경계 시프팅 위상반전 마스크의 위상 에지 엠파시스(phase-edge emphasis)를 통하여 보상된다. 전스칼라 리소그래피 이미지 모델링은 본 발명의 이미지 형성 컨시퀀스(consequence)를 입증하기 위하여 활용되었다. 도 10a 내지 도 10d는 이들 결과를 나타낸다. 4개의 플롯은 1:1.5, 1:2, 1:2.5, 1:3의 듀티비를 갖는 70nm 피쳐이다. 이들 듀티비는 본 발명에 의하여 묘화된 바와 같이 피쳐의 라인 대 공간피쳐비에 해당한다. 조명 파장은 193nm이고, 렌즈 개구수(NA)는 각 경우에 대하여 0.60이다. 도 10a에서, 에어리얼 이미지세기는 위상-경계간의 영역의 투과가 0% 내지 100%사이에서 증가되는 경우, 크로스-쿼드 조명된 1:1.5 위상-경계 시프팅 위상반전 마스크를 위한 수평위치에 대하여 플롯된다. 0%의 투과에 있어서, 마스크는 종래의 바이너리 마스크에 해당하고, 100%의 투과에 있어서, 마스크는 본 발명의 위상-경계 시프팅 마스크에 해당한다. 도 8b 내지 8d는 잔여 듀티비값에 대한 유사한 플롯이다. 각 도면은 아래의 비율에 의하여 정해지는 바와 같이, 0%에서 100%까지의 위상반전된 피쳐의 증가하는 투과가 이미지 변조를 어떻게 향상시키는지를 나타낸다.
변조 = (Imin- Imax)(Imin+ Imax)
이것은 세기이미지의 측벽각의 슬로프에서의 증가뿐만 아니라, 최소 세기값에서의 감소를 통하여 얻어진다.
이들 결과는 도 11a 내지 도 11c에 도시되는 바와 같이, 1:1.5 내지 1:6.5의 듀티비에 해당하는, (묘화된 것으로서)120nm 내지 520nm의 간격값을 갖는 (묘화된 것으로서)80nm피쳐에 대하여 조명 또는 마스킹 방법만을 사용하는 것보다 더 양호하다. 이들 도면은, 위상-경계 시프팅 위상반전 마스크(도 11a)와 결합된 크로스-쿼드 접근법이 크롬 마스킹 및 동일한 조명(도 11b)과 위상-경계 시프팅 위상반전 마스크 및 종래의 조명(도 11c)과 비교된 초점을 통하여 80nm피쳐의 묘화를 하도록 하는 방법을 보여주는 NILS측정을 통하여 에어리얼 이미지를 비교한 도면이다. 모든 경우에서, 정상 이미지 로그 슬로프(NILS)는 다음과 같이 정의된다:
NILS = d ln I(x)/dx
마스크 에지에서의 NILS는 초점위치의 더 큰 범위를 통하여 도 11a에 도시된 묘화에 대하여 더 커진다.
도 12는 다양한 듀티비의 피쳐의 묘화성능을 조정하기 위하여 마스크 바이어스를 사용하여, 본 발명의 크로스-쿼드 접근법 및 위상-경계 시프팅 위상반전 마스크를 사용하는 다양한 듀티비(1:1.5, 1:2, 1:2.5, 1:3)의 80nm지오메트리에 대한 합성 NILS플롯이다. 1:1.5 듀티비피쳐에 60nm 증가된 사이징바이어스(sizing bias)를 부여하면, NILS성능이 향상된다.
도 13은 다양한 듀티비의 피쳐의 묘화성능을 조정하기 위하여 마스크 바이어스를 사용하여, 본 발명의 크로스-쿼드접근법 및 위상-경계 시프팅 위상반전 마스크를 사용하는 다양한 듀티비(1:1.5, 1:2, 1:2.5, 1:3)의 70nm지오메트리에 대한 합성 NILS플롯이다. 1:1.5 듀티비피쳐에 65nm 증가된 사이징바이어스를 부여하고, 1:3 듀티비 피쳐에 80nm 증가된 사이징바이어스를 부여하면, NILS성능이 향상된다.
전체 레지스트 시뮬레이션은 다양한 듀티비의 피쳐의 묘화성능을 조정하기 위하여 마스크 바이어스를 사용하여, 본 발명의 크로스-쿼드 접근법 및 위상-경계 시프팅 위상반전 마스크를 사용하는 다양한 듀티비(1:1.5, 1:2, 1:2.5, 1:3.5, 1:4.5)의 80nm지오메트리에 대하여 수행된다. 시뮬레이션은 상용 리소그래피 시뮬레이터(Prolith/5) 및 193nm이미지 형성용 레지스트모델을 사용하여 수행되었다. 각각의 80nm CD듀티비에 대하여, 흔히, 초점-노광매트릭스라 불리는 초점값 대 노광도스값의 매트릭스가 시뮬레이션되었다. 조작가능한 프로세스 윈도우는 본 매트릭스방법을 사용하여 측정될 수 있고, 이 경우 사용가능한 초점심도(DOF)내의 타겟크기(E크기)에서의 피쳐를 인쇄하기 위하여 요구되는 노광도스값이 결정될 수 있다. 표1은 이들 결과를 요약한 것이다. 각각의 80nm듀티비에 대하여, 피쳐바이어스는 모든 듀티비에 걸쳐 E크기에서의 공용성(commonality)을 최대로 하기 위하여 최대DOF를 생성하도록 선택되었다. 최상의 노광값이 최상의 초점과 함께 각각의 듀티비에 대하여 나타난다. 이 표에서 알 수 있는 바와 같이, 1:1.5, 1:2, 1:2.5, 1:3.5, 1:4.5의 듀티비내의 80nm피쳐는 59.55와 79.55mJ/cm2사이의 노광도스값 및 -0.01과 -0.11㎛사이의 초점값을 갖는 소요 크기로 묘화된다. 이것은 +/-14% 변동 및 +/-0.05㎛의 초점변동을 갖는 70mJ/cm2의 평균노광값에 대응한다. 이 결과는 X 및 Y방향으로 방위를 잡는 피쳐에 대하여 동일하다.
80nm CD Biased to E(size) DOF (5%) Best Exp. Best Foc.듀티비
1:1.5 60nm 58mJ/cm20.96um 59.55mJ/cm2-0.01um1:2 80 79.97 0.53 79.93 -0.111:1.5 70 67.94 0.83 68.68 -0.061:1.5 80 77.45 0.86 78.10 -0.061:2.5 80 78.68 0.24 79.52 -0.101:3 80 73.59 0.19 79.52 -0.101:3.5 80 60.00 0.17 75.52 -0.10
표1. 본 발명에 따라 0.60NA 및 193nm 파장을 갖는 80nm 피쳐 해상 능력을 나타내는 프로세스 윈도우 결과치.
본 발명의 추가 실시예는, 도 14a 내지 도 14d의 에어리얼 이미지 플롯에 도시되는 바와 같이, 위상-경계간의 투과가 100% 보다 작은 값인 경우의 위상-경계 시프팅 위상반전 마스크와 결합된 수정된 크로스-쿼드 기술을 사용한다. 이들 플롯들은 0%보다 크고 100% 까지의 투과값이 0% 투과값보다 우수한 결과치를 어떻게 나타내는지를 보여주며, 이는 바이너리 마스크를 위한 경우이다. 시프터의 투과는 바이어스되거나 그렇지 않을 수 있다. 상기 마스크는, Smith에 의한 미국특허 제5,939,277호에 기재되어 있는 바와 같이, 감쇠된 위상반전 마스킹 방법을 사용하여 제작된다. 감쇠된 위상-경계 시프팅 위상반전 마스크는 기판 및 상기 기판상에 0%보다 크고 100% 까지의 소정의 투과값 및 π위상반전을 이루기 위한 두께로 배치된 단일 층 또는 복수의 층들을 포함한다.
지금까지 본 발명이 기술되었지만, 본 발명은 상기 예시들에 한정되지 않는다는 것을 이해하여야 한다. 수치값, 극, 형상 및 위상 마스크 파라미터들은 고집적회로 패턴 해상도를 달성하기 위하여, 필요에 따라 묘화 마스킹, 피쳐 방위, 듀티비 요건 등의 특정 조건들을 수용하도록 변경될 수 있다. 여기에 기술된 예시들은 본 발명의 출원을 한정하지 않고, 본 발명이 기타 파장들과 다양한 묘화 상황에 사용되고 적용될 수 있다는 것은 당업자에게는 자명하다.
본 발명은 도 8에 도시된 바와 같이, 광학 구성요소 레티클로서 제작되고, 마스크 평면(92)에서의 투영광 분포의 제어를 하도록 패터닝 및 디더링되며, 콘덴서 렌즈 퓨필 평면에 삽입된 마스킹 어퍼쳐(91)를 채용하는 조명시스템에 사용될 수 있다. 본 마스킹 어퍼쳐(91)는 반투명 기판 및 마스킹 필름으로 이루어진다. 마스킹 어퍼쳐를 통한 세기의 분포는 크로스-쿼드 4극 조명 구성이나 환형 또는 링 구성으로 오프-액시스 조명을 제공하도록 결정된다. 상기 극들은 변화하는 세기를 가질 수 있으며, 이는 마스킹 필름의 픽셀처리 (pixelation)를 통하여 하프-톤 패턴을 생성함으로써 달성되고, 이에 따라 단순한 바이너리(투명 또는 불투명) 선택을 넘어서 조명에서의 최대 변화를 하도록 한다.
더욱 상세하게는, 본 발명은 제어된 크로스-쿼드 조명을 제공하도록 조명시스템을 위한 마스크를 포함할 수 있다. 상기 마스크는 회절소자로 작용한다. 픽셀의 패턴 및 상기 패턴의 세기는 포토마스크를 조명하는 조명 패턴을 결정한다. 조명 마스크는 조명의 4개의 크로스-쿼드 구역을 형성하는 조명 제어 패턴을 갖는 반투명 기판이다. 각각의 구역은 포토마스크를 조명하기 위하여 조명 패턴으로 입사광을 회절시키기 위한 선택된 입사광 파장에 따라 패터닝되는 픽셀들을 포함한다. 각각의 픽셀들은 서브픽셀의 매트릭스로 구성된다. 바람직한 실시예에서는, 서브픽셀의 어레이가 8 x 8 이다.
상기 세기는 픽셀의 개수만큼 변조된다. 가장 높은 세기 픽셀은 서브픽셀이 없다. 적합한 파장의 광은 감쇠없이 통과한다. 64개의 서브픽셀을 갖는 픽셀은 모든 광을 감쇠시키거나 차단시킨다. 0% ~ 100% 사이 세기의 픽셀들이 주어진 픽셀에서 서브픽셀의 개수만큼 만들어진다. 랜덤 패턴 및 기타 패턴들은 moire 패턴과 유사한 가공물(artifact)을 생산할 수 있다. 이러한 가공물은 바람직하지 않다. 위치 의존 임계값을 사용하는 디더링된 패턴은 가공물이 거의 없거나 전혀 없는 조명 패턴을 생성했다는 것을 발견하였다.
마스킹 어퍼쳐는 일루미네이터에서 소정의 세기 분포를 갖는 바이-레벨도이다. 개구부로부터 불투명 지역으로의 변화하는 세기 전이를 갖는 것이 바람직하다. 이러한 결과를 얻기 위하여, 조명 패턴은 픽셀들로 분할되고, 각각의 픽셀은 서브픽셀의 매트릭스이다. 연속적인 분포 세기의 디더링 또는 픽셀처리는 바이너리 또는 바이-레벨 마스킹 어퍼쳐로 병진운동하는데 사용된다. 개별적인 마스킹 서브픽셀들은 작고(10 ~ 100㎛ 정도), 반투명이거나 불투명이다. 조명 세기 프로파일의연속적인 톤 특성은 마스킹 어퍼쳐상에서 바이-레벨도 상태의 공간밀도를 제어함으로써 병진된다. 마스킹 어퍼쳐상에 출력 분포를 생성하도록 몇가지 결정 규칙이 구현될 수 있다. 고정된 임계 기술이 가장 단순한 형태이지만, 연속적인 톤 세기 프로파일을 바이-레벨 마스킹 어퍼쳐 표시로 가장 효과적으로 병진시키는데 주문형 디더링 접근법이 사용될 수도 있다. 세기값들은n x n디더(dither) 매트릭스에 포함된 위치-의존 세트의 임계값들과 비교된다. 한 세트의 선택 규칙들은 조명 필드 위의 체커보드 배치에서 디더 매트릭스를 반복한다. 이러한 접근법의 핵심은 최소량의 낮은 공간주파수잡음으로 연속적인 톤 이미지의 바이-레벨도를 생성하는데 있다. 다시 말해, 투영 노광 툴에 요구되는 조명 균일성의 임계 제어(critical control)를 할 수 있도록, 조직(texture), 입상(granularity) 또는 기타 가공물의 발생이 최소로 감소된다.
그러면, 연속톤 크로스쿼드 조명 프로파일의 최종 바이 레벨도가 마스크 패턴 생성기에 의하여 광감응 레지스트재 또는 전자빔감응 레지스트재에 기록하기에 적합해진다. 그러한 레지스트재는 반투명 기판상의 불투명 필름 위에 코팅되는 경우 마스킹 어퍼처의 패턴 묘사 및 생성을 가능하게 한다.
투영 노광툴용 조명시스템의 퓨필평면에서 기존의 세기분포는 크로스쿼드 세기분포의 마스킹셀도를 담은 바이 레벨 마스킹 어퍼처의 사용을 통하여 수정된다. 도 15 및 도 16은 4개의 분포된 세기구역이 수평 및 수직방향으로 방위를 잡은 기하도형을 담은 포토마스크의 크로스쿼드 조명을 가능하게 하는 그러한 분포를 보인다. 조명시스템의 퓨필평면에서 기존의 조명 세기분포가 균일하지 않다면, 상기평면에서의 불균일성은 본 발명에 따라 고르게 펴질 수 있어 불균일성에 대한 보상도 하는 마스킹 어퍼처가 되는 결과를 낳는다. 예를 들어, 많은 스테퍼가 전체 퓨필의 80%만 열린 때에 균일함(+/- 1%)이 보장되는 퓨필을 제공한다. 85% 개방시 조명의 균일성이 +/- 20% 이상까지 달라진다. 본 발명에 의하여, 불균일성이 사라지거나 허용 레벨까지 감소될 수 있다.
구역은 마스크 기하도형 요건이나 특정한 렌즈 거동(lens behavior)에 맞도록 소정 분포의 회절정보에 따라 원형, 타원형, 45°타원형(즉, 45°와 135°의 축으로 방위를 잡은 타원), 정방형, 또는 기타의 형상일 수 있다. 이들 구역 또는 링내에서 에너지의 분포는 가우시안, 로렌츠 또는 기타 유사한 형상으로 단계화(stepped)될 수 있다. 가우시안 분포의 첨도(kurtosis)는 정규분포(중첨(mesokurtic)), 좁은분포(급첨(leptokurtic), 또는 평정분포(flat-topped)(저첨(platykurtic)일 수도 있고, 이들 구역간의 조합일 수도 있다. 상기 분포의 비대칭 즉, 대칭위반은 소정 피처 사이즈의 차등적 가중치를 위해 활용될 수 있다. 원대칭은 가장 일반적인 경우에 대하여 가장 적합할 수 있고, 타원대칭은 포토마스크의 x-y 불균일성을 알맞게 맞추도록 활용되거나 투영렌즈에 의하여 (예를 들어, 비점수차 또는 코마수차의 결과로서) 전달될 수 있다.
본 발명은 미국특허 제 5,926,257호에 도시되고 서술된 것을 포함하는 기타의 오프액시스 조명시스템내에서도 사용될 수 있다. 상기 문헌에서의 조명 광학시스템은 제1회절광학요소, 제2회절광학요소 및 콘덴서 렌즈를 구비한다. 제1회절광학요소는 복수의 마이크로 회절광학요소를 구비하며 제1방향으로의제1광파워(optical power) 및 제1방향에 수직인 제2방향으로의, 제1광파워와는 상이한 광파워을 가진다. 제2회절광학요소는 복수의 마이크로 회절광학요소를 구비하며 제2방향으로의 제2광파워 및 제1방향으로 제2광파워와는 상이한 광파워를 가진다. 제1광파워와 제2광파워는 상이하다. 광원으로부터 공급되는 광은 제1 및 제2회절광학요소를 통과함에 따라 복수의 2차광원을 형성한다. 2차광원으로부터의 광은 콘덴서 렌즈를 통과하면서 조사될 표면상에 쾰러조명을 생성한다. 이러한 크로스쿼드 세기분표용으로 설계된 조명에 상기 서술된 위상반전경계를 가진 무크롬 마스크를 장치하면 유사하게 유익한 결과를 얻을 수 있다.
개조된 회절광학기를 사용하는 또 다른 조명시스템은 또한 본 발명의 무크롬 마스크와도 작업을 수행한다. 미국특허 제 5,631,721호에는 포토리소그래피용 하이브리드 조명시스템이 도시되어 있다. 그 조명시스템은 소정의 조명필드를 형성하는 부근에 배열 광학요소를 구비한다. 조명원으로부터의 광 또는 전자기 방사선은 평면내에 복수의 2차 조명원을 형성하는 멀티-이미지 광학요소에 의하여 확장되고 수취된다. 콘덴서는 복수의 조명원으로부터 광을 받는다. 배열 또는 회절광학요소는 콘덴서의 초점 위 또는 그 부근에 놓인다. 콘덴서의 초점에 형성된 조명평면은 배열 또는 회절광학요소의 부근필드 회절패턴내에 속해 있다. 배열 또는 회절광학요소의 이후에는 콘덴서가 없다. 배열 또는 회절광학요소의 사용은 멀티이미지 광학요소와 상기 배열 또는 회절광학요소의 사이에 회절광학요소의 사출 개구수(emergent numerical aperture)보다 적은 개구수를 가진 콘덴서의 사용을 가능하게 하고, 조명원 프로파일과는 거의 무관한 소정의 크로스쿼드 각도분포를 생성한다.

Claims (51)

  1. 반투명 포토마스크상의 양각 패턴으로부터 반도체 웨이퍼의 감광표면상에 이미지 생성하는 방법으로서, 상기 이미지는 상기 포토마스크내에 또는 그 위에 대향하여 가깝게 이격된 위상반전 경계영역들간의 공간에 대응하는 폭을 가진 라인을 포함하며, 상기 위상반전 경계영역은 직교하는 제1 및 제2방향을 따라 연장되는 상기 방법에 있어서,
    묘화된 피처의 직교 방위에 대응하는 제1 및 제2의 직교 축선상에 세기 증가부를 가진 광원을 제공하되, 제1 및 제2의 세기 증가부는 각 축선상에 있거나 축선들의 교점의 대향측에 있는 상기 광원을 제공하는 단계; 및
    상기 광원으로부터의 광으로 기판내에 또는 그 위에 상기 양각 패턴을 조명하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서,
    1이상의 가변 투과율 영역을 형성하도록 배열된 복수의 픽셀을 포함하는 어퍼처 마스크를 통하여 광원을 필터링하는 단계를 더욱 포함하는 것을 특징으로 하는 방법.
  3. 제1항에 있어서,
    1이상의 어퍼처를 가진 어퍼처 마스크를 통하여 광원을 필터링하는 단계를더욱 포함하는 것을 특징으로 하는 방법.
  4. 제1항에 있어서,
    광의 경로내에 배치되어 광을 2이상의 빔으로 성형하는 1이상의 빔 스플리터를 더욱 포함하는 것을 특징으로 하는 방법.
  5. 제1항에 있어서,
    광을 2이상의 빔으로 성형하는 1이상의 회절광학요소 접근법을 더욱 포함하는 것을 특징으로 하는 방법.
  6. 제1항에 있어서,
    상기 양각 패턴은 기판보다 두꺼운 재료의 영역을 포함하는 것을 특징으로 하는 방법.
  7. 제1항에 있어서,
    상기 기판의 부분은 양각 패턴을 형성하도록 제거되는 것을 특징으로 하는 방법.
  8. 제1항에 있어서,
    상기 양각 패턴들간의 거리는 노광툴의 해상도 한계와 노광툴의 축소비의 곱보다 작은 것을 특징으로 하는 방법.
  9. 제8항에 있어서,
    상기 양각 패턴들간의 거리는 M 곱하기 0.2λ/NA 내지 M 곱하기 0.3λ/NA의 범위에 있는 거리로서, M은 광학시스템의 축소비이고 λ는 입사광의 파장이며 NA는 조명시스템의 개구수인 것을 특징으로 하는 방법.
  10. 직교하는 제1 및 제2방향을 따라 연장되는 선형 피처를 묘화하는 방법에 있어서,
    상기 제1 및 제2직교방향의 사이에 대략 45˚로 배치된 직교하는 축선을 따라 감소되는 세기 감소부를 광원의 중심에 제공하는 단계; 및
    가깝게 이격된 위상반전 경계영역들간의 공간에 대응하는 암영역을 만들기 위해서 서로 가깝게 이격되어 배치된 위상반전경계 패턴을 포토마스크에 제공하는 단계를 포함하는 것을 특징으로 하는 방법.
  11. 제9항에 있어서,
    1이상의 가변 투과율 영역을 형성하도록 배열된 복수의 픽셀을 포함하는 어퍼처 마스크를 통하여 광원을 필터링하는 단계를 더욱 포함하는 것을 특징으로 하는 방법.
  12. 제9항에 있어서,
    1이상의 어퍼처를 가진 어퍼처 마스크를 통하여 광원을 필터링하는 단계를 더욱 포함하는 것을 특징으로 하는 방법.
  13. 제9항에 있어서,
    광의 경로내에 배치되어 광을 2이상의 빔으로 성형하는 1이상의 빔 스플리터를 더욱 포함하는 것을 특징으로 하는 방법.
  14. 제9항에 있어서,
    광을 2이상의 빔으로 성형하는 1이상의 회절광학요소 접근법을 더욱 포함하는 것을 특징으로 하는 방법.
  15. 제9항에 있어서,
    상기 양각 패턴은 기판보다 두꺼운 재료의 영역을 포함하는 것을 특징으로 하는 방법.
  16. 제9항에 있어서,
    상기 기판의 부분은 양각 패턴을 형성하도록 제거되는 것을 특징으로 하는 방법.
  17. 제1항에 있어서,
    상기 양각 패턴들간의 거리는 노광툴의 해상도 한계와 노광툴의 축소비의 곱보다 작은 것을 특징으로 하는 방법.
  18. 제17항에 있어서,
    상기 양각 패턴들간의 거리는 M 곱하기 0.2λ/NA 내지 M 곱하기 0.3λ/NA의 범위에 있는 거리로서, M은 광학시스템의 축소비이고 λ는 입사광의 파장이며 NA는 조명시스템의 개구수인 것을 특징으로 하는 방법.
  19. 2개의 직교 방향을 따라 방위를 잡은 미세한 리소그래피 피처를 생성하는 묘화시스템에 있어서,
    미세한 패턴 디테일의 주파수에 대응하는 이격거리에서 리소그래피 피처의 2개의 방위축선에 대응하는 위치에 배치되며 그 면적을 둘러싸는 조명의 부분보다 큰 투과율을 가진 4개의 별도의 국부화된 면적을 가진 조명원; 및
    투명한 기판상에 서로 가깝게 이격된 복수의 양각 경계를 가진 투명한 기판을 포함하며 상기 양각 경계는 개별 양각 경계가 묘화되지 않고 가깝게 이격된 양각 경계들간의 거리에 대응하는 암영역이 묘화되도록 충분히 가깝게 이격되는 위상반전마스크를 포함하는 것을 특징으로 하는 묘화시스템.
  20. 제17항에 있어서,
    1이상의 가변 투과율 영역을 형성하도록 배열된 복수의 픽셀을 포함하는 어퍼처 마스크를 통하여 광원을 필터링하는 것을 특징으로 하는 묘화시스템.
  21. 제17항에 있어서,
    1이상의 어퍼처를 가진 어퍼처 마스크를 통하여 광원을 필터링하는 것을 특징으로 하는 묘화시스템.
  22. 제17항에 있어서,
    광의 경로내에 배치되어 광을 2이상의 빔으로 성형하는 1이상의 빔 스플리터를 더욱 포함하는 것을 특징으로 하는 묘화시스템.
  23. 제17항에 있어서,
    광을 2이상의 빔으로 성형하는 1이상의 회절광학요소 접근을 더욱 포함하는 것을 특징으로 하는 묘화시스템.
  24. 제17항에 있어서,
    상기 양각 패턴은 기판보다 두꺼운 재료의 영역을 포함하는 것을 특징으로 하는 묘화시스템.
  25. 제17항에 있어서,
    상기 기판의 부분은 양각 패턴을 형성하도록 제거되는 것을 특징으로 하는 묘화시스템.
  26. 제1항에 있어서,
    상기 양각 패턴들간의 거리는 노광툴의 해상도 한계와 노광툴의 축소비의 곱보다 작은 것을 특징으로 하는 방법.
  27. 제26항에 있어서,
    상기 양각 패턴들간의 거리는 M 곱하기 0.2λ/NA 내지 M 곱하기 0.3λ/NA의 범위에 있는 거리로서, M은 광학시스템의 축소비이고 λ는 입사광의 파장이며 NA는 조명시스템의 개구수인 것을 특징으로 하는 묘화시스템.
  28. 감응화된 표면상에 미세하게 이격된 피처를 형성하는 투영 리소그래피 시스템에 있어서,
    제1축선의 대향측에 각각 배치된 제1쌍의 영역 및 상기 제1축선에 직교하는 제2축선의 대향측에 각각 배치된 제2쌍의 영역을 가진 조명영역의 쌍들을 생성하는 수단; 및
    상기 조명영역으로부터 조명의 경로내에서 반투명 기판상에 배치되며 위상반전수단들간의 거리에 대응하는 거리를 두고 상대적으로 어두운 라인을 형성하도록 가깝게 이격된 위상반전수단을 포함하는 것을 특징으로 하는 투영 리소그래피 시스템.
  29. 제 25항에 있어서,
    상기 조명영역의 쌍들을 생성하는 수단은 1이상의 가변 투과율 영역을 형성하도록 배열된 복수의 픽셀을 포함하는 어퍼처 마스크를 통하여 광원을 필터링하는 수단을 더욱 포함하는 것을 특징으로 하는 투영 리소그래피.
  30. 제25항에 있어서,
    상기 조명영역의 쌍들을 생성하는 수단은 1이상의 어퍼처를 가진 어퍼처 마스크를 통하여 광원을 필터링하는 수단을 더욱 포함하는 것을 특징으로 하는 투영 리소그패피.
  31. 제25항에 있어서,
    상기 조명영역의 쌍들을 생성하는 수단은, 광의 경로내에 배치되어 광을 2이상의 빔으로 성형하는 1이상의 빔 스플리터를 더욱 포함하는 것을 특징으로 하는 투영 리소그래피.
  32. 제25항에 있어서,
    상기 조명영역의 쌍들을 생성하는 수단은 광을 2이상의 빔으로 성형하는 1이상의 회절광학요소 접근을 더욱 포함하는 것을 특징으로 하는 투영 리소그래피.
  33. 제25항에 있어서,
    상기 양각 패턴은 기판보다 두꺼운 재료의 영역을 포함하는 것을 특징으로 하는 투영 리소그래피.
  34. 제25항에 있어서,
    상기 기판의 부분은 양각 패턴을 형성하도록 제거되는 것을 특징으로 하는 투영 리소그래피.
  35. 제1항에 있어서,
    상기 양각 패턴들간의 거리는 노광툴의 해상도 한계와 노광툴의 축소비의 곱보다 작은 것을 특징으로 하는 방법.
  36. 제35항에 있어서,
    상기 양각 패턴들간의 거리는 M 곱하기 0.2λ/NA 내지 M 곱하기 0.3λ/NA의 범위에 있는 거리이되, M은 광학시스템의 축소비이고 λ는 입사광의 파장이며 NA는 조명시스템의 개구수인 것을 특징으로 하는 투영 리소그래피.
  37. 2극과 동일한 방식으로 오프액시스 조명각을 제공하고 등가의 회절에너지 분포 결과를 제공하는 X 및 Y 방위 마스크 기하도형의 오프액시스 조명을 위한 축선위치상에 놓인 4개의 극을 가진 4극 조명시스템; 및
    서로 매우 근접하게 배치되어 웨이퍼의 감응화된 표면상에 암공간을 묘화하는 2개의 미세한 위상반전 피처 경계를 포함하되, 상기 암공간은 위상반전 마스킹 경계들 사이의 영역에 대응하는 위상반전경계 마스크를 포함하는 것을 특징으로 하는 투영 리소그래피.
  38. 제33항에 있어서,
    상기 4극 조명시스템은 1이상의 가변 투과율 영역을 형성하도록 배열된 복수의 픽셀을 포함하는 어퍼처 마스크를 통하여 광원을 필터링하는 수단을 더욱 포함하는 것을 특징으로 하는 투영 리소그래피.
  39. 제33항에 있어서,
    상기 4극 조명 시스템은 1이상의 어퍼처를 가진 어퍼처 마스크를 통하여 광원을 필터링하는 수단을 더욱 포함하는 것을 특징으로 하는 투영 리소그래피.
  40. 제33항에 있어서,
    상기 4극 조명 시스템은, 광의 경로내에 배치되어 광을 2이상의 빔으로 성형하는 1이상의 빔 스플리터를 더욱 포함하는 것을 특징으로 하는 투영 리소그래피.
  41. 제33항에 있어서,
    상기 4극 조명 시스템은 광을 2이상의 빔으로 성형하는 1이상의 회절광학요소 접근을 더욱 포함하는 것을 특징으로 하는 투영 리소그래피.
  42. 제33항에 있어서,
    상기 위상반전 경계영역은 기판보다 두꺼운 재료의 영역을 포함하는 것을 특징으로 하는 투영 리소그래피.
  43. 제33항에 있어서,
    상기 기판의 부분은 위상반전 경계영역을 형성하도록 제거되는 것을 특징으로 하는 투영 리소그래피.
  44. 제1항에 있어서,
    상기 양각 패턴들간의 거리는 노광툴의 해상도 한계와 노광툴의 축소비의 곱보다 작은 것을 특징으로 하는 방법.
  45. 제44항에 있어서,
    상기 위상반전 경계영역들간의 거리는 M 곱하기 0.2λ/NA 내지 M 곱하기 0.3λ/NA의 범위에 있는 거리이되, M은 광학시스템의 축소비이고 λ는 입사광의 파장이며 NA는 조명시스템의 개구수인 것을 특징으로 하는 투영 리소그래피.
  46. 선택된 파장에서 방사선을 받는 투명 기판; 및
    상기 투명 기판상에 형성되며 가깝게 이격된 1이상의 위상반전경계를 포함하는 양각 패턴으로서, 상기 경계는 서로 평행하며 가깝게 이격된 위상반전 경계영역들간의 공간에 대응하는 초점평면내에 상대적으로 어두운 영역을 형성하기 위하여 방사선의 선택된 파장에 따라 서로 가깝게 인접하여 이격된, 상기 양각 패턴을 포함하는 것을 특징으로 하는 경계 위상반전 포토마스크.
  47. 제41항에 있어서,
    투명한 위상반전층이 투명 기판상에 또는 그 안에 배치되고 상기 위상반전층과 상기 기판간의 상대적 두께차는 입사 방사선과 소멸간섭하기에 충분한 것을 특징으로 하는 포토마스크.
  48. 제41항에 있어서,
    상기 위상반전 경계영역은 기판보다 두꺼운 재료의 영역을 포함하는 것을 특징으로 하는 포토마스크.
  49. 제41항에 있어서,
    상기 기판의 부분은 위상반전 경계영역을 형성하도록 제거되는 것을 특징으로 하는 포토마스크.
  50. 제1항에 있어서,
    상기 양각 패턴들간의 거리는 노광툴의 해상도 한계와 노광툴의 축소비의 곱보다 작은 것을 특징으로 하는 방법.
  51. 제41항에 있어서,
    상기 위상반전 경계영역들간의 거리는 M 곱하기 0.2λ/NA 내지 M 곱하기 0.3λ/NA의 범위에 있는 거리이되, M은 광학시스템의 축소비이고 λ는 입사광의 파장이며 NA는 조명시스템의 개구수인 것을 특징으로 하는 포토마스크.
KR1020027006249A 1999-11-15 2000-11-15 위상경계마스킹을 사용하여 수정된 조명으로 이미징하는 방법 KR100579637B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16546599P 1999-11-15 1999-11-15
US60/165,465 1999-11-15
PCT/US2000/031333 WO2001037053A1 (en) 1999-11-15 2000-11-15 Imaging method using phase boundary masking with modified illumination

Publications (2)

Publication Number Publication Date
KR20030008214A true KR20030008214A (ko) 2003-01-24
KR100579637B1 KR100579637B1 (ko) 2006-05-15

Family

ID=22599008

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027006249A KR100579637B1 (ko) 1999-11-15 2000-11-15 위상경계마스킹을 사용하여 수정된 조명으로 이미징하는 방법

Country Status (7)

Country Link
US (1) US6388736B1 (ko)
EP (1) EP1240557A4 (ko)
JP (1) JP2003515256A (ko)
KR (1) KR100579637B1 (ko)
AU (1) AU1610501A (ko)
TW (1) TW509816B (ko)
WO (1) WO2001037053A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100811270B1 (ko) * 2006-09-19 2008-03-07 주식회사 하이닉스반도체 단일 포토마스크를 이용한 패턴 형성방법

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001042253A (ja) * 1999-08-04 2001-02-16 Minolta Co Ltd レーザー照射光学系
US6513151B1 (en) * 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US7233887B2 (en) 2002-01-18 2007-06-19 Smith Bruce W Method of photomask correction and its optimization using localized frequency analysis
US7033734B2 (en) 2003-02-28 2006-04-25 Intel Corporation Dipole illumination
US6800882B2 (en) * 2003-02-28 2004-10-05 Lsi Logic Corporation Multiple-bit memory latch cell for integrated circuit gate array
US6842223B2 (en) 2003-04-11 2005-01-11 Nikon Precision Inc. Enhanced illuminator for use in photolithographic systems
TW557406B (en) * 2003-05-02 2003-10-11 United Microelectronics Corp Chrome-less mask inspection method
US7312020B2 (en) * 2003-11-10 2007-12-25 United Microelectronics Corp. Lithography method
KR100983756B1 (ko) 2003-12-24 2010-09-24 주식회사 하이닉스반도체 노광장치의 어퍼쳐
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7395516B2 (en) * 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
EP1889195A4 (en) * 2005-05-20 2012-09-12 Cadence Desing Systems Inc PRODUCTION-DESIGN DESIGN AND DESIGNED PRODUCTION
KR100685743B1 (ko) * 2005-06-22 2007-02-22 삼성전자주식회사 광학 부재 홀더 및 이를 갖는 투영 노광 장치
WO2007051574A1 (en) * 2005-11-03 2007-05-10 Carl Zeiss Smt Ag Microlithographic projection exposure apparatus
KR100781297B1 (ko) * 2005-11-09 2007-11-30 삼성전자주식회사 기판 노광 공정에서의 베스트 포커스 결정 방법 및 이의수행이 가능한 기판 노광 장치
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9601349B2 (en) * 2009-02-17 2017-03-21 Macronix International Co., Ltd. Etching method
KR20130006737A (ko) * 2011-02-28 2013-01-18 삼성전자주식회사 반도체 소자의 제조방법
US8774549B2 (en) * 2011-09-30 2014-07-08 Stmicroelectronics, Inc. Compression error handling for temporal noise reduction

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5638211A (en) 1990-08-21 1997-06-10 Nikon Corporation Method and apparatus for increasing the resolution power of projection lithography exposure system
US5673102A (en) 1991-02-22 1997-09-30 Canon Kabushiki Kaisha Image farming and microdevice manufacturing method and exposure apparatus in which a light source includes four quadrants of predetermined intensity
JPH06267822A (ja) * 1993-03-17 1994-09-22 Toshiba Corp 微細パタン形成方法
KR0171947B1 (ko) * 1995-12-08 1999-03-20 김주용 반도체소자 제조를 위한 노광 방법 및 그를 이용한 노광장치
JPH09167735A (ja) * 1995-12-15 1997-06-24 Canon Inc 投影露光装置及びそれを用いた半導体デバイスの製造方法
JP2988417B2 (ja) * 1997-02-28 1999-12-13 日本電気株式会社 フォトマスク
US5851701A (en) * 1997-04-01 1998-12-22 Micron Technology, Inc. Atom lithographic mask having diffraction grating and attenuated phase shifters
JPH117120A (ja) * 1997-06-18 1999-01-12 Sony Corp マスクパターン作成方法およびマスクパターン作成装置並びにマスク作成装置
US5888678A (en) * 1998-02-09 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and simplified method of forming a mask integrating attenuating phase shifting mask patterns and binary mask patterns on the same mask substrate
US6312854B1 (en) * 1998-03-17 2001-11-06 Asml Masktools Netherlands B.V. Method of patterning sub-0.25 lambda line features with high transmission, “attenuated” phase shift masks
EP0949541B1 (en) * 1998-04-08 2006-06-07 ASML Netherlands B.V. Lithography apparatus
US6150058A (en) * 1998-06-12 2000-11-21 Taiwan Semiconductor Manufacturing Company Method of making attenuating phase-shifting mask using different exposure doses
US6379868B1 (en) * 1999-04-01 2002-04-30 Agere Systems Guardian Corp. Lithographic process for device fabrication using dark-field illumination

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100811270B1 (ko) * 2006-09-19 2008-03-07 주식회사 하이닉스반도체 단일 포토마스크를 이용한 패턴 형성방법
US7993814B2 (en) 2006-09-19 2011-08-09 Hynix Semiconductor Inc. Method for forming patterns using single mask

Also Published As

Publication number Publication date
TW509816B (en) 2002-11-11
US6388736B1 (en) 2002-05-14
WO2001037053A1 (en) 2001-05-25
JP2003515256A (ja) 2003-04-22
EP1240557A4 (en) 2006-03-22
AU1610501A (en) 2001-05-30
KR100579637B1 (ko) 2006-05-15
EP1240557A1 (en) 2002-09-18

Similar Documents

Publication Publication Date Title
KR100579637B1 (ko) 위상경계마스킹을 사용하여 수정된 조명으로 이미징하는 방법
US6791667B2 (en) Illumination device for projection system and method for fabricating
KR100395892B1 (ko) 광 근접성 보정
KR100306415B1 (ko) 투영노광장치용으로사용된포토마스크
US5587834A (en) Semiconductor device manufacturing method and projection exposure apparatus using the same
KR100613461B1 (ko) 이중노광기술을 이용한 이중노광방법과 이를 위한포토마스크
US5642183A (en) Spatial filter used in a reduction-type projection printing apparatus
JP2003515256A5 (ko)
Mansuripur et al. Projection photolithography
KR20030043772A (ko) 프로세스 관용도 개선을 위하여 이용된 어시스트 피처를제거하는 방법
KR20020070130A (ko) 그레이바를 서브-해상도 어시스트 피처로 활용하는광근접성교정방법
KR100579601B1 (ko) 쉐브런 조명을 사용하여 포토마스크를 조명하는 방법
KR100713955B1 (ko) 투영 장치, 투영 방법 및 조명 퍼필 설정 방법
KR100868111B1 (ko) 마이크로전자 장치를 패터닝하는 장치, 방법 및 리소그래피마스크
JP2004251969A (ja) 位相シフトマスク、位相シフトマスクを用いたパターンの形成方法および電子デバイスの製造方法
US6811933B2 (en) Vortex phase shift mask for optical lithography
US6480263B1 (en) Apparatus and method for phase shift photomasking
US20060147850A1 (en) Plane waves to control critical dimension
KR0183706B1 (ko) 투영 노광 방법, 이에 사용되는 마스크
JPH09236904A (ja) フォトマスク
KR19980040594A (ko) 사진 식각 공정용 포토 마스크
US20050089767A1 (en) Mask for off axis illumination and method for manufacturing the same
JP2005025098A (ja) 光学リソグラフィー用ボルテックス位相シフトマスク
KR19980040591A (ko) 사진 식각 공정용 포토마스크
Luo et al. New mask having functions of OAI and PSM to realize sub-0.2-um patterns with 248 nm in microlithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee