KR20020068537A - 액체 원료 기화방법 및 그에 사용되는 장치 - Google Patents

액체 원료 기화방법 및 그에 사용되는 장치 Download PDF

Info

Publication number
KR20020068537A
KR20020068537A KR1020027006286A KR20027006286A KR20020068537A KR 20020068537 A KR20020068537 A KR 20020068537A KR 1020027006286 A KR1020027006286 A KR 1020027006286A KR 20027006286 A KR20027006286 A KR 20027006286A KR 20020068537 A KR20020068537 A KR 20020068537A
Authority
KR
South Korea
Prior art keywords
raw material
liquid raw
reservoir
outlet
pressure
Prior art date
Application number
KR1020027006286A
Other languages
English (en)
Inventor
이규홍
Original Assignee
지니텍 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지니텍 주식회사 filed Critical 지니텍 주식회사
Publication of KR20020068537A publication Critical patent/KR20020068537A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

화학기상증착(CVD) 장치와 같은 증착 장치, 특히 유기금속 화학기상증착(MOCVD) 및 원자층 증착(ALD) 응용 분야에, 기화된 원료 물질을 공급하기 위하여 액체 원료를 기화시키는 방법 및 장치를 제시한다. 본 발명은 액체 원료 물질의 액체 상태를 유지하면서 온도와 압력을 증가시킨 다음, 액체 원료 물질의 온도를 그대로 유지하면서 낮은 압력 상태로 순간적으로 노출시킨다. 낮은 압력상태로의 이러한 갑작스런 노출은 액체 원료 물질을 기화시키고, 이렇게 기화된 원료 물질은 MOCVD나 ALD 장치와 같은 증착 장치로 공급된다. 본 발명에 따라 액체 원료 물질을 기화시키는 장치의 구조 및 동작 또한 제시된다.

Description

액체 원료 기화방법 및 그에 사용되는 장치{Method of vaporizing liquid sources and apparatus therefor}
반도체 소자의 제조공정 중 CVD 공정 방법, 특히 고품질의 막을 형성하기 위해 유기금속 원료를 이용하는 MOCVD 및 ALD 적용 분야에서, 개발이 활발하게 진행되고 있다. 그런데, 대부분의 유기금속 원료는 상온에서 액체 상태이거나 고체 상태이기 때문에 CVD 장치의 반응챔버에 공급하기 전에 상기한 원료 물질들을 기화시킬 필요가 있다.
따라서, 액체나 고체 원료 물질을 기화시키는 몇가지 다른 방법들이 제안되었고, 그 중 간단한 것으로는 원료 물질이 증발할 때까지 이를 소정 온도로 가열하는 방법이 있다.
그러나, 이 방법은 증발온도에서 열적으로 불안정하거나 증기압이 매우 낮은 물질에 대해서는 부적당하다. 뿐만 아니라, 고체 원료 물질을 용매에 녹여서 만든액체 원료 물질인 경우에는 용매가 용질보다 빨리 증발하므로, 대기에 소정 시간 노출되면 액체가 쉽게 점성으로 된다거나 용질 입자들이 생성된다. 따라서, 초기의 액체 원료 물질에 비해 점성이 커진다거나 용질 입자들의 표면적이 변화하는 등의 이유로 원료 물질의 증기압이 시간에 따라 변하기 때문에, 원료 물질의 흐름을 안정되게 유지하기 어려워진다. 결국, 기화된 원료 물질을 일정한 속도로 꾸준하게 공급하는 것이 어려워진다.
알. 에이치. 트링(R. H. Thring)은 액체 상태이나 고체 상태인 원료 물질을 기화시키는 다른 방법들을 제안하였다[미국특허 제 5,836,289호(1998)]. 트링(다공질 요소 연료 기화기)은 증발속도를 높이기 위해, 다공질 요소를 가열한 상태에서 액체 원료 물질을 통과시키고 이와 동시에 운반 가스(carrier gas)도 다공질 요소를 통과하게 하여 기화된 원료 물질과 운반 가스의 혼합물을 원하는 반응기로 공급하는 것을 제안하였다.
리(Li) 등은 기화된 원료 물질을 CVD 반응챔버로 유입시키기 전에 기화의 능률을 증가시킬 수 있도록, 앞서 언급한 트링의 방법과 관련하여 초음파 주입노즐을 사용하는 것을 제안하였다[미국특허 제 5,835,677호(1998)].
한편, 제이. 에이취. 유윙(J. H. Ewing)은 또 다른 개선된 발명을 제안하였는데, 열 전도성이 있고 편평하며 다양한 지름을 가진 얇은 디스크들을 동축으로 배열한 스택(stack)을 사용하여, 디스크들의 넓은 표면적에 액체 필름이 형성되도록 함으로써 운반 가스를 상기 액체 필름이 덮인 넓은 면적으로 강제적으로 주입시켰을 때에, 운반 가스가 기화된 원료 물질을 디스크들의 넓은 표면적으로부터 보다효율적으로 흡수하여 가지고(pick-up) 가도록 하였다[미국특허 제 5,553,188호(1996)].
그러나, 위에서 언급한 모든 방법은 다공질 요소를 사용한 것이든 얇고 편평한 디스크들을 동축으로 배열한 스택을 사용한 것이든, 액체 원료 물질이 작고 좁은 영역에서 쉽게 응축되거나 응고됨에 따라 시간이 지나면서 열화된 원료 물질로 막혀버린다는 문제를 공통적으로 갖는다. 따라서, 이와 같은 막힘(clogging)은, 원료 물질을 CVD 반응챔버로 원활하게 유입하는 것을 저해한다.
본 발명은 기화 상태의 원료 물질을 화학기상증착(Chemical Vapor Deposition : CVD) 장치, 보다 상세하게는 유기금속 화학기상증착(Metalorganic CVD : MOCVD) 및 원자층 증착(Atomic Layer Deposition : ALD)과 같은 적용 분야에 공급하기 위하여, 액체 원료 물질을 기화시키는 방법에 관한 것이다.
본 발명의 실시예, 장점들과 함께 본 발명은, 첨부한 다음의 도면과 함께 후술되는 실시예의 상세한 설명을 참조하면 가장 잘 이해되어질 것이다.
도 1은 주어진 원료 물질에 대해 기체, 액체 및 고체 상태의 경계를 온도와 압력에 따라 나타낸 상태도이다.
도 2a는 본 발명에 의한 장치의 예시적인 디자인을 보이는 단면도이다.
도 2b는 도 2a의 A-A' 단면에 해당하는 다른 단면도이다.
도 3은 본 발명에 의한 다른 장치로서 수직의 운반 가스관과 기계적으로 가압되는 차단막을 갖는 장치의 예시적인 디자인을 보이는 단면도이다.
도 4는 본 발명에 의한 다른 장치로서 수직의 운반 가스관과 저장조를 위한 청소홀(clean-out hole)을 갖는 장치의 예시적인 디자인을 보이는 단면도이다.
도 5는 도 4에 도시한 장치의 개선된 디자인을 보이는 단면도이다.
도 6은 본 발명에 의한 장치로서 수평의 입구측 운반 가스관과 수직의 출구측 운반 가스관이 조합된 복합형(hybrid) 운반 가스관을 갖는 장치의 예시적인 디자인을 보이는 단면도이다.
도 7은 기화된 원료 물질을 더 빨리 반응챔버로 공급할 수 있도록 운반 가스가 더 빨리 흐르게 도 6에 도시한 장치를 개선한 디자인을 보이는 단면도이다.
도 8a는 본 발명에 의한 장치로서 운반 가스가 기화된 액체 원료를 보다 효율적으로 운반할 수 있는 장치의 단면도이다.
도 8b는 도 8a의 B-B' 단면에 해당하는 단면도이다.
본 발명이 이루고자 하는 기술적 과제는 상술한 종래의 문제점을 해결하는 것으로, 원래부터 액체 상태이었든 고체 원료 물질이라서 액체 상태로 만들기 위하여 적절한 용매를 사용하여 용해한 것이든, 액체 원료 물질을 기화시켜 필요한 원료 물질을 CVD 반응챔버에 원하는 양만큼 지속적으로 공급하는 것이다.
본 발명이 이루고자 하는 다른 기술적 과제는 필요한 원료 물질을 CVD 반응챔버에 주기적인 펄세이션(pulsation) 방식으로 공급하는 것이다.
본 발명이 이루고자 하는 다른 기술적 과제는 액체 원료 물질을 지속적인 속도로 기화시키는 장치를 제시하는 것이다. 이로써 기화된 액체 원료 물질의 흐름이 용이하게 조절되고 기화기의 막힘을 방지할 수 있도록 하는 것이다.
본 발명이 이루고자 하는 다른 기술적 과제는 액체 원료 물질을 주기적인 펄세이션 방식으로 기화시키는 장치를 제시하는 것이다.
본 발명이 이루고자 하는 또 다른 기술적 과제는 질이 나빠진 원료 물질을CVD 장치의 반응챔버로 쓸어버리지 않고(without dumping) 저장조를 비울 수 있도록 하기 위해서, 저장조내의 질이 나빠진 원료 물질을 용이하게 제거할 수 있는 액체 원료 물질 기화장치를 제시하는 것이다.
CVD 공정을 적용하기 위하여, CVD 장치의 반응챔버로 기화된 액체 원료 물질을 공급하는 것이 바람직하다. 본 발명은 CVD 적용을 위하여, 기화된 액체 원료 물질을 공급하는 장치 및 방법을 제시한다. 좀 더 구체적으로, 본 발명은 MOCVD 및 ALD 분야에 적용될 수 있지만 이에 한정되지는 않는다.
본 발명에 따르면, 온도 T1과 압력 P1에서 평형상태(equilibrium state)에 있는 액체 원료 물질을 T1보다 고온인 T2와 P1보다 고압인 P2의 새로운 평형상태로 가열하고 가압하는데, 가열하고 가압하는 동안 상기 액체 원료 물질이 기화되지 아니하는 방식으로 한다. 본 발명에 따라 액체 원료 물질을 기화시키기 위하여, 상기 액체 원료 물질의 온도를 T2와 동일한 수준으로 유지한 채 작은 구멍(small opening)을 통과하게 하여 P2보다 저압인 P3에 순간적으로 노출시킨다. 액체 원료 물질은 갑작스런 압력 저하를 경험할 뿐만 아니라 저압 상태에 갑작스럽게 노출되는 것에 의하여 기화된다.
본 발명은 또한 앞에서 언급한 방법을 사용하여 액체 원료 물질을 기화시키는 장치를 제공한다. 이 장치는 멤브레인과 같은 편평한 표면으로 닫혀져 있는(capped) 비교적 좁은 배출관(vent tube), 액체 원료 물질을 위한 저장조, 기화된 액체 원료 물질을 위한 방출관(discharge tube), 액체 원료 물질을 가열하여 적절한 온도로 유지하는 가열 수단, 및 P2 근방의 압력으로 액체 원료 물질을 제공하는 압력 펌프(pressure pump)를 포함한다.
본 발명은 액체 원료 물질을 기화시키기 위하여 오직 작은 노출 면적 또는 편평한 마개(cap)를 가진 배출관 주위 면적을 필요로 하기 때문에, 과도한 액체 원료 물질이 닫혀있는 영역(capped area)에서 점성으로 변화함에 따라 막히게 되는 가능성을 감소시킨다는 장점이 있다.
기화장치의 몇 가지 변형을 또한 제시한다.
본 발명의 모든 목적, 특징, 장점들은 도면의 간단한 설명에 이어 후술되는 상세한 설명으로부터 보다 자명해질 것이다.
도 1을 참조하면, 초기에 액체 원료 물질의 상태가 온도 T1과 압력 P1의 평형상태(상태 A)라고 한다면, 본 발명에 따라 상기 원료 물질은 가열되고 가압되어 보다 높은 T2의 온도와 P2의 압력을 갖는 다른 평형상태(상태 B)에 도달한다. 이 과정에서 상기 액체 원료 물질은 기화되지 아니한다. 도 1에서, 이 과정은 상태 A에서 상태 B로 향하는 화살표로 표시되었다.
상기 액체 원료 물질을 기화시키기 위하여, 상기 액체 원료 물질을 낮은 압력 상태, 예를 들어 P3에 순간적으로 노출시킨다. 이 때의 온도는 T2와 동일한 수준을 유지한다(상태 C). 이 과정에서, 상기 액체 원료 물질은 T2와 동일한 수준의 온도로 유지된 채 P3의 낮은 압력을 가진 수집관(collecting tube) 또는 배출구의 열린 공간으로 밀어 넣어진다. 도 1에서, 이 과정은 상태 B에서 상태 C로 향하는 화살표로 표시되었다.
도 1의 고온-고압 상태 B에서 고온-저압 상태 C로 변이(transition)하는 동안, 원료 물질의 상태가 동일 온도 T2 하에 있는 액체 상태 B에서 기체 상태 C로 순간적으로 변화하기 때문에 액체 원료 물질이 기화된다. 이러한 방법으로 기화된 원료 물질은 적절히 수집되어 CVD의 반응챔버로 공급된다.
본 발명의 다른 관점에 따르면, 액체 원료 물질을 기화시키고 이렇게 만든 기체 원료 물질을 수집할 뿐만 아니라, CVD의 반응챔버로 지속적으로 공급하는 장치는, 액체 원료 물질 공급 라인, 상기 공급 라인으로부터 유입되는 액체 원료 물질을 저장하는 저장조, 기계적 또는 유체 압력에 의해 개방되고 폐쇄되는 차단막을 구비한 배출구로서, 액체 원료 물질이 고압 상태에서 저압 상태로 갑자기 변이하는 동안 기화될 수 있도록 가압된 액체 원료 물질이 상기 차단막을 지나 저압 상태에 갑자기 노출되도록 하는 배출구, 및 기화된 액체 원료 물질을 CVD의 반응챔버와 같은 목적지까지 운반하는 가스 운송관을 포함한다.
본 발명에 따르면, 상기 장치는 또한 P2와 같이 원하는 압력 하에서 상기 저장조로 액체 원료 물질을 공급하는 압력 펌프와, 기계적이거나 유체수단으로 상기 차단막을 가압하는 수단을 포함하여, 액체 원료 물질의 압력이 가압 수단으로 가압되는 상기 차단막의 압력보다 낮을 경우 배출구가 폐쇄되고, 액체 원료 물질의 압력이 상기 차단막의 압력보다 높을 경우 배출구가 개방된다. 보통, 차단막 압력은 고정된 값으로 정해지고, 예를 들어 상기 장치를 사용하지 않는 동안에는 P2보다 약간 높게 유지된다.
마지막으로, 상기 장치는 사용되는 액체 원료 물질의 종류에 따라 필요한 경우 액체 원료 물질을 T2까지 가열하는 가열 수단을 선택적으로 더 구비한다. 어떤 액체 원료 물질은 가열되어야 하지만 그렇지 않은 것도 있다.
다음에 설명하는 본 발명의 예시적이고 바람직한 실시예들을 참조함으로써 본 발명을 가장 잘 이해할 수 있다.
본 발명은 앞에서 언급한 액체 원료 물질을 기화시키는 방법을 구현하는 데에 있어서 다양한 방법으로 실현할 수 있다.
상압에서 액체 상태인 원료 물질의 몇가지 예로는 TEOS(tetraethylorthosilicate)[Si(OCH2CH3)4], 탄탈륨 펜타에톡사이드(tantalum pentaethoxide)[Ta(OCH2CH3)5], 티타늄 이소프로폭사이드(titanium isopropoxide)[Ti{OCH(CH3)2}4], 지르코늄 t-부톡사이드(zirconium tert-butoxide)[Zr{OC(CH3)3}4], 하프늄 t-부톡사이드(hafnium tert-butoxide)[Hf{OC(CH3)3}4], TDMAT(tetrakisdimethylamidotitanium)[Ti{N(CH3)2}4], TDEAT(tetrakisdiethylamidotitanium)[Ti{N(CH2CH3)2}4], (hfac)Cu(vtms)가 있다. 여기서, hfac는 헥사플루오로아세틸아세토네이트(hexafluoroacetylacetonate)를 의미하고, vtms는 비닐트리메틸실레인(vinyltrimethylsilane)을 의미한다. 그리고, 고체 상태에서 액체 상태로 변화시키기 위해 톨루엔(toluene), THF(tetrahydrofuran) 및 n-부틸 아세테이트(n-butyl acetate)와 같은 용매를 필요로 하는 몇가지 원료 물질의 예로는 Ba(thd)2, Sr(thd)2, Bi(thd)3, La(thd)3, Pb(thd)2, Sr(thd)2,Sr[Ta(OCH2CH3)6]2, 그리고 Cu(hfac)2가 있다. 여기서, thd는 테트라메틸헵타네디오네이트(tetramethylheptanedionate)이다.
이하에서는, 본 발명에 따라 CVD의 반응챔버로 공급하기 위해 액체 원료 물질을 기화시키는 데에 이용되는 장치의 예시적인 디자인, 구조 및 배치가 제시되고 또 소개된다. 당 분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상의 범위 내에서 원하는 대로 다른 디자인, 구조 및 배치를 행할 수 있을 것이다. 따라서, 본 발명에 따른 디자인, 구조 및 배치는 여기에 제공되는 실시예들에 한정되는 것은 아니다.
실시예 1
도 1은 주어진 원료 물질에 대해 기체, 액체 및 고체 상태의 경계를 온도와 압력의 함수로 나타낸 상태도이다. 도 1을 참조하면, 대기압(1 Atm.)과 상온에서 평형상태(equilibrium state)에 있는 액체 구리 원료 물질을 12.3 Atm.까지 가압하였다. 다시 말해, P2 = 12.3 Atm.으로 가압하였다. 이 때에, 온도는 거의 동일하게 유지하였다. 따라서, T1 ≒ T2 ≒상온으로 유지하였다. 차단막의 압력은 약 12.3 Atm.으로 설정하였다. 액체 원료 물질의 압력을 증가시켜 12.3 Atm.에 도달한 후, 차단막의 한계(threshold) 압력인 12.3 Atm.을 넘으면, 액체 원료 물질이 배출구를 지나서 압력, 예를 들어 MOCVD 공정의 경우 일반적으로 수 torr 이하의 압력에 갑자기 노출된다. 이로써 12.3 Atm. 압력 수준에 있던 액체 원료 물질은 12.3 Atm.보다 훨씬 낮은 저압으로의 갑작스런 압력 강하로 인해 기화되고, 배출구의 한 부분인 통풍관(ventilation tube)을 통해 방출된다.
실시예 2
도 2a는 본 발명에 의한 장치의 예시적인 디자인을 보이는 단면도이고, 도 2b는 도 2a의 A-A' 단면에 해당하는 다른 단면도이다.
예시적인 기화장치는 몸체(110)와 선택적인 가열기(120)를 포함한다. 몸체(110)는 공급관(112), 저장조(114), 배출구(116), 배출관(142), 가스 방출관(118), 차단막(140), 가압 콘택면(124), 입구측 운반 가스관(126), 출구측 가스관(128), 가압기(130) 및 압력이 새지 않는 콘택(132)을 포함한다. 배출관(142)의 높이는 저장조(114)의 원하는 공간을 제공한다. 압력 펌프(150, 미도시)는 공급관(112)에 연결되어 있다.
몸체뿐만 아니라 저장조(114) 안의 액체 원료 물질을 가열하는 선택적인 가열기(120)가 도 2a 및 도 2b에 도시되어 있다.
도 2a 및 도 2b를 참조하면, 액체 원료 물질은 압력 펌프(150, 미도시)에 의하여 공급관(112)을 통해 저장조(114)가 액체 원료 물질로 채워질 때까지 공급된다. 이 때에, 저장조의 액체 원료 물질의 압력은 예를 들어 P1의 압력 하에 있다. 가압기(130)가 차단막(140)을 하방으로 밀어서 차단막이 배출구와 빈틈없는 면접촉을 이루기 때문에, 저장조(114) 안의 액체 원료 물질은 저장조(114) 안에만 남아있게 된다. 이것은 가압을 받는 콘택면(124)을 마련해준다. 또한 차단막(140)이 몸체(110) 안에 밀착해 있으므로, 몸체와 차단막은 압력이 새지 않는 콘택(132)을 형성한다. 따라서, 가압기(130)가 배출구(116)에 대하여 저장조(114) 안의 액체 원료 물질보다 높은 압력으로 차단막(140)을 아래로 밀고 있는 한, 액체 원료 물질이저장조(114) 안에 남아 있게된다.
다음, 차단막(140)이 배출구(116)를 누르는 압력을 P2로 설정하고, 저장조(114) 안의 압력이 압력 펌프(150, 미도시)에 의하여 증가함과 동시에, 저장조(114) 안의 액체 원료 물질의 온도를 가열기(120)에 의하여 T2로 증가시킨다. 액체 원료 물질의 온도를 T2로 올리고, 압력 펌프(150, 미도시)에 의하여 저장조(114) 안의 압력을 P2보다 높이면, 저장조(114) 안의 압력이 P2를 초과하는 순간, 액체 원료 물질이 차단막을 상방향으로 밀고, 이 동작에 의하여 배출구(116)가 열린다. 이것은 거의 순간적으로 일어나기 때문에, 배출구(116) 링의 최상면 주위로 배출관을 지나는 액체 원료 물질은 배출관 내부의 낮은 압력 상태에 순간적으로 노출된다. 따라서 액체 원료 물질이 기화되고 배출관(142)을 지나 방출되어 궁극적으로 가스 방출관(118)으로 이동된다. 운반 가스는 가스 방출관(118)을 지나 흐르면서 기화된 원료 물질을 운반한다. 기화된 원료 물질과 운반 가스의 혼합물은 출구 가스관(128)을 거쳐 CVD의 반응챔버로 공급된다.
배출구의 개방에 관한 동작으로는 몇가지 모드가 있다. 첫 번째 모드는 차단막(140)이 올라간 상태를 유지하기에 충분할 정도로 액체 원료 물질의 압력을 높게 유지하고 그 결과, 배출구(116)가 개방된 상태가 되게 하는 것이다. 이 때에 액체 원료 물질이 배출관을 지나 지속적이고 연속적으로 흐르게 되고, 따라서, 기화된 원료 물질이 지속적이고 연속적으로 출구 가스관(128)을 통하여 공급된다. 두 번째 모드는 차단막(140)과 액체 원료 물질의 압력차를 적정한 수준으로 유지하여 배출구(116)가 개방-폐쇄 동작을 반복하게 함으로써, 기화된 원료 물질을 주기적인 펄세이션(pulsation) 방식으로 공급하는 것이다.
이 두 가지 모드의 동작에서, 기화된 원료 물질의 유량은 정량액체펌프(metering pump)로써 조절될 수 있는데, 정량액체펌프의 출구 압력은 차단막 압력을 초과할 수 있다. 위에서 언급한 두 가지 모드는 정량액체펌프의 출구 압력을 조정함으로써 제어될 수 있다.
주기적인 펄세이션 모드에서, 원료 물질의 차단이 갑작스러운 정도(abruptness)는 정량액체펌프의 성능에 좌우된다.
실시예 3
기계적 가압기를 구비한 액체 원료 물질 기화장치의 예시적인 디자인을 설명한다.
도 3을 참조하면, 본 발명에 의해서 제안된 장치는 수직적으로 설치된 운반 가스관(226, 228), 수직 공급관(212), 그리고 보다 중요한 것은, 기계적 차단막(240)과 청소관(213)이 있는 것이 다르고 나머지는 위의 실시예 2에서 설명한 장치와 흡사하다. 여기에서, 청소관(213)은 정상 동작 중에는 폐쇄 상태이다.
저장조(214)의 압력을 차단막(240)의 한계 압력을 초과할 때까지 증가시키면, 차단막(240)이 상방향으로의 스프링 효과를 갖고 있어서, 저장조(214) 안의 액체 원료 물질이 차단막(240)을 밀고 배출구(216)의 최상면에서 가압된 콘택면(224)이 개방되어 저장조(214) 안의 액체 원료 물질이 배출구(216)의 최상부인 배출구 구멍을 지난다. 다음에, 배출구(216)와 가스 방출관(218) 영역의 낮은 압력 상태에 갑자기 노출됨에 따라, 배출구 구멍을 지나는 액체 원료 물질이 기화된다. 결과적으로, 가스 방출관(218)의 운반 가스는 기화된 원료 물질을 운반하여 출구 가스관(228)을 지난 다음, 궁극적으로 CVD의 반응챔버로 공급된다.
여기에 설명하지 않은 다른 기능이나 동작은 앞서 언급한 실시예 2에서와 유사하다. 예를 들어, 기화된 원료 물질의 흐름은 정량액체펌프의 압력을 조정함으로써 제어될 수 있다. 가열기(220)는 사용되는 액체 원료 물질의 성질에 따라 선택적으로 사용될 수 있다. 청소홀(213)은 장치를 장시간 사용한 다음에 저장조를 청소하기 위한 것이다.
이것은 기계적으로 가압되는 차단막을 구비한 장치로서, 가압기를 제거할 수 있는 가능성이 있는 장치의 디자인 중 하나의 예이다. 그러나, 기계적이거나 유체를 이용하는 가압기가 추가적으로 필요할 경우에는 그렇게 디자인할 수 있다.
실시예 4
도 4는 본 발명에 따라 액체 원료 물질을 기화시키는 장치의 다른 관점을 보인 것이다.
도 4에 도시한 장치는 링 모양의 차단막(340)과, 수직적으로 장착된 입구측 운반 가스관(326)과 출구측 운반 가스관(328)을 구비하고 있다. 이 장치는 기계적 가압기(330)를 구비하고 있다. 몸체(310)는 저장조(314)를 청소하기 위한 청소홀(313)을 구비하고 있다.
여기에 제시하고 제공하는 장치의 기능이나 동작은 실시예 2에서 제시하고 제안한 장치와 유사하다.
도 5에 도시된 것처럼, 도 4의 장치를 개선한 디자인 또한 제시하고 제안한다. 출구측 가스관(428)은 입구측 가스관(426)보다 직경이 커서 가스 방출관(416)으로부터 나오는 기화된 원료 물질의 혼합물을 허용하고, 운반 가스가 가스 방출관(416)을 도 4에 나타낸 장치에서보다 더 원활하게 흐를 수 있도록 한다.
실시예 5
도 6에 도시한 바와 같이 본 발명에 의하여 액체 원료 물질을 기화시키는 다른 장치를 제시하고 제안한다. 이 장치는 "수평"의 입구측 운반 가스관(526)과 "수직"의 출구측 운반 가스관(528)을 구비하고 있다. 배출관(542), 가스 방출관(518) 및 차단막(540)이 근접하여 있으므로, 이러한 구조는 기화된 원료 물질과 운반 가스를 보다 잘 혼합시키고 배출시킨다.
도 6의 장치를 개선한 장치를 도 7에서 보여지는 것처럼 제시하고 제안한다. 이것은 기화된 원료 물질과 운반 가스가 보다 잘 혼합되도록 개선한 것인데, 이것은 입구측 운반 가스관(626)과 배출관(642)에 연결된 스택 모양때문에 가능하다. 이 모양은 차단막 근처에서 난류가 발생하여 기화된 원료 물질과 운반 가스가 보다 빠르고 균일하게 섞이도록 한다.
여기에 제시되고 제안된 장치의 기본적인 기능이나 동작은 실시예 2에서 제시되고 제안된 장치와 유사하다.
실시예 6
본 발명에 의하여 액체 원료 물질을 기화시키는 다른 장치가 도 8a와 도 8b에 도시되어 있다. 도 8b는 도 8a의 B-B' 단면에 해당하는 단면도이다.
이 예는 도 8a와 도 8b에서처럼 배출관(742)과 가스 방출관(718)으로 된 T모양의 구조 안에 가스 혼합벽(gas mixing wall, 717)을 구비한다. 가스 혼합벽(717)은 복잡한 디자인 없이도 가스를 잘 혼합한다. 가스 혼합벽(717)의 높이와 폭은 원료와 운반 가스의 적정한 혼합비율 및 입구측 가스관(726)과 출구측 가스관(728)을 지나는 운반 가스의 흐름을 위하여 조정될 수 있다. 기계적 부품의 기계적 분할(partitioning)은 가공, 조립, 분리, 세척 및 다른 유지가 편리하고 용이해지는 방향으로 구현하기 위한 가능성이 다양하다.
이상의 실시예들에서, 본 발명에 따라 CVD의 반응챔버로 공급하기 위하여 액체 원료 물질을 기화시키는 장치의 몇가지 예시적인 디자인, 구조 및 배치가 제시되고 제안되었다. 당 분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상의 범위 내에서 원하는 대로 다른 디자인, 구조 및 배치를 행할 수 있을 것이다. 따라서, 본 발명에 따른 디자인, 구조 및 배치는 여기에 제공된 실시예들에 한정되지 않는다.
본 발명에 따르면, 액체 원료 물질을 기화시켜 필요한 원료 물질을 CVD 반응챔버에 원하는 양만큼 지속적으로 공급할 수 있다. 필요한 원료 물질을 CVD 반응챔버에 주기적인 펄세이션(pulsation) 방식으로 공급할 수도 있다. 액체 원료 물질을 지속적인 속도로 기화시킴으로써 기화된 액체 원료 물질의 흐름이 용이하게 조절되고 기화기의 막힘을 제거할 수 있다. 저장조내의 질이 나빠진 원료 물질을 용이하게 제거할 수 있으므로, 질이 나빠진 원료 물질을 CVD 장치의 반응챔버로 쓸어버리지 않고 저장조를 비울 수 있다. 본 발명은 MOCVD 및 ALD 분야에 적용될 수 있지만이에 한정되지는 않는다.

Claims (10)

  1. 가압된 액체 원료 물질을 공급하는 액체 원료 물질 공급 라인;
    가압된 액체 원료 물질을 저장하는 저장조;
    상기 저장조 내의 압력 변화에 의하여 순간적으로 개방되고 폐쇄되는 차단막(stopper)을 구비한 배출구(vent)로서, 상기 배출구가 순간적으로 개방될 때 저압 상태로의 갑작스런 노출로 인하여 상기 액체 원료 물질이 기화되도록 하는 배출구;
    기화된 액체 원료 물질을 운반하거나 배출시키는 배출관(vent tube);
    기화된 액체 원료 물질을 운반 가스에 의해 목적지까지 운반하는 가스 운송관(gas transport tube);
    상기 차단막에 의하여 상기 저장조 내의 액체 원료 물질을 유지하는 가압 수단; 및
    필요할 때 상기 액체 원료 물질을 원하는 온도까지 가열하는 가열 수단을 구비하여,
    액체 원료 물질을 기화시키고, 기화된 원료 물질을 운반 가스를 이용하여 수집하고, 이러한 가스를 목적지까지 공급하는 액체 원료 기화장치.
  2. 제1항에 있어서, 상기 저장조는 상부가 열려있고, 상기 차단막이 상기 저장조를 완전히 밀폐시키며, 상기 차단막이 상하로 움직이고, 상기 배출구의 최상부와빈틈없는 면접촉을 이루고, 상기 배출관은 상기 가압 수단이 상기 차단막을 하방으로 밀더라도 상기 차단막이 더 내려오는 것을 제한함으로써 상기 저장조를 위하여 공간을 제공하는 것을 특징으로 하는 액체 원료 기화장치.
  3. 제1항에 있어서, 상기 액체 원료 공급라인에 연결된 정량액체펌프(metering pump)를 더 구비하고, 상기 배출구를 지나는 액체 원료 물질의 유량이 일정하도록 압력 수준을 조절함으로써, 상기 기화된 원료 물질이 일정하게 지속적으로 공급되고, 또 그것이 유지되는 것을 특징으로 하는 액체 원료 기화장치.
  4. 제3항에 있어서, 상기 저장조와 차단막의 압력차는 상기 배출구와 차단막이 개방-폐쇄 운동을 반복하도록 상기 정량액체펌프의 압력을 조절함으로써 적절한 수준으로 유지되고, 이에 따라 상기 기화된 원료 물질은 그 흐름을 용이하게 제어할 수 있는 주기적인 펄세이션(pulsation) 방식으로 공급되는 것을 특징으로 하는 액체 원료 기화장치.
  5. 제1항에 있어서, 상기 액체 원료 공급 라인, 청소(clean-out) 라인 및 가스 운송관이 상기 저장조에 수직으로 연결되고, 상기 가압 수단이 제거되고 대신 상기 차단막이 가압 수단으로 작용하는 것을 특징으로 하는 액체 원료 기화장치.
  6. 제5항에 있어서, 상기 액체 원료 공급 라인은 상기 저장조의 하단부에 수평으로 연결되고, 청소 라인도 연결되며, 차단막 링이 입구측 가스관(inlet gas tube)의 최하단(bottom)과 출구측 가스관(outlet gas tube)의 최상단(top) 사이에 삽입된 것을 특징으로 하는 액체 원료 기화장치.
  7. 제6항에 있어서, 상기 출구측 가스관의 하단부가 확장되어서 상기 기화된 원료 물질을 지닌 운반 가스가 용이하게 흐를 수 있도록 한 것을 특징으로 하는 액체 원료 기화장치.
  8. 제1항에 있어서, 출구측 가스관은 상기 배출관의 안쪽에 수직으로 연결되어 가스들을 잘 혼합하고, 청소 라인이 추가된 것을 특징으로 하는 액체 원료 기화장치.
  9. 제8항에 있어서, 상기 배출관의 하단부가 확장되어서 가스들을 잘 혼합하고 잘 흐르게 하며, 청소 라인이 추가된 것을 특징으로 하는 액체 원료 기화장치.
  10. 제2항에 있어서, 적절한 높이와 두께의 가스 혼합 벽(gas mixing wall)을 상기 배출관과 상기 운반 가스 운송관의 중간에 위치하도록 설치함으로써 가스들이 보다 잘 혼합되는 것을 특징으로 하는 액체 원료 기화장치.
KR1020027006286A 1999-11-18 2000-11-18 액체 원료 기화방법 및 그에 사용되는 장치 KR20020068537A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1019990051206A KR20010047128A (ko) 1999-11-18 1999-11-18 액체원료 기화방법 및 그에 사용되는 장치
KR1019990051206 1999-11-18

Publications (1)

Publication Number Publication Date
KR20020068537A true KR20020068537A (ko) 2002-08-27

Family

ID=19620601

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1019990051206A KR20010047128A (ko) 1999-11-18 1999-11-18 액체원료 기화방법 및 그에 사용되는 장치
KR1020027006286A KR20020068537A (ko) 1999-11-18 2000-11-18 액체 원료 기화방법 및 그에 사용되는 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1019990051206A KR20010047128A (ko) 1999-11-18 1999-11-18 액체원료 기화방법 및 그에 사용되는 장치

Country Status (4)

Country Link
US (1) US6380081B1 (ko)
JP (1) JP2003522829A (ko)
KR (2) KR20010047128A (ko)
WO (1) WO2001036702A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100390539B1 (ko) * 2002-10-05 2003-07-04 에이엔 에스 주식회사 유기 반도체 장치에서 기화물질의 운송관의 가열 장치 및방법
KR100922005B1 (ko) * 2007-09-18 2009-10-14 세메스 주식회사 박막 증착 장치

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
TW490714B (en) 1999-12-27 2002-06-11 Semiconductor Energy Lab Film formation apparatus and method for forming a film
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US20020011205A1 (en) * 2000-05-02 2002-01-31 Shunpei Yamazaki Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device
US7517551B2 (en) * 2000-05-12 2009-04-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a light-emitting device
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
KR100424727B1 (ko) * 2001-06-27 2004-03-30 주성엔지니어링(주) 액체원료 공급장치 및 그 세정방법
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6461436B1 (en) * 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
SG113448A1 (en) * 2002-02-25 2005-08-29 Semiconductor Energy Lab Fabrication system and a fabrication method of a light emitting device
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7309269B2 (en) 2002-04-15 2007-12-18 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device
US20030221620A1 (en) * 2002-06-03 2003-12-04 Semiconductor Energy Laboratory Co., Ltd. Vapor deposition device
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
TWI277363B (en) * 2002-08-30 2007-03-21 Semiconductor Energy Lab Fabrication system, light-emitting device and fabricating method of organic compound-containing layer
AU2003263609A1 (en) 2002-09-20 2004-04-08 Semiconductor Energy Laboratory Co., Ltd. Fabrication system and manufacturing method of light emitting device
US20060035470A1 (en) * 2002-10-30 2006-02-16 Hitachi Kokusai Electronic, Inc. Method for manufaturing semiconductor device and substrate processing system
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7514119B2 (en) * 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
WO2007078802A2 (en) 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
DE102006027932A1 (de) * 2006-06-14 2007-12-20 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
KR20090044285A (ko) * 2007-10-31 2009-05-07 삼성전자주식회사 Ald 설비 및 그 ald 설비의 세정방법
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP5200551B2 (ja) 2008-01-18 2013-06-05 東京エレクトロン株式会社 気化原料供給装置、成膜装置及び気化原料供給方法
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US9117773B2 (en) 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9334566B2 (en) 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
CN110643975B (zh) * 2018-06-27 2021-09-28 东北大学 一种金属有机化学源液体的蒸发输运装置
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
CN110643976B (zh) * 2019-11-13 2021-06-22 江苏实为半导体科技有限公司 一种具有快速预热功能的mocvd加热器源

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
US5553188A (en) * 1995-02-24 1996-09-03 Mks Instruments, Inc. Vaporizer and liquid delivery system using same
JP3901252B2 (ja) * 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US5835677A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5836289A (en) * 1997-06-10 1998-11-17 Southwest Research Institute Porous element fuel vaporizer
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100390539B1 (ko) * 2002-10-05 2003-07-04 에이엔 에스 주식회사 유기 반도체 장치에서 기화물질의 운송관의 가열 장치 및방법
KR100922005B1 (ko) * 2007-09-18 2009-10-14 세메스 주식회사 박막 증착 장치

Also Published As

Publication number Publication date
JP2003522829A (ja) 2003-07-29
US6380081B1 (en) 2002-04-30
WO2001036702A1 (en) 2001-05-25
KR20010047128A (ko) 2001-06-15

Similar Documents

Publication Publication Date Title
KR20020068537A (ko) 액체 원료 기화방법 및 그에 사용되는 장치
JP6133954B2 (ja) ガスと蒸発材料との接触を促進するのを助ける方法及び装置
US10465286B2 (en) Method and apparatus to help promote contact of gas with vaporized material
JP4397188B2 (ja) 気化液体反応物のパルス的供給の方法および装置
US6195504B1 (en) Liquid feed vaporization system and gas injection device
JP5732025B2 (ja) 基板処理システムにおける材料蒸着方法及び装置
CN101405433B (zh) 用于减少沉积系统中粒子污染的方法和设备
US6548112B1 (en) Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US20070166457A1 (en) Vaporizer, film forming apparatus including the same, method of vaporization and method of forming film
KR20030088344A (ko) 기화기 및 기화 공급 장치
JP2002525430A (ja) Cvdリアクタ用ガス供給システムおよび同システムを制御する方法
US20080216872A1 (en) Carburetor, Method of Vaporizing Material Solution, and Method of Washing Carburetor
JP2005045170A (ja) ガス反応装置
KR19980042608A (ko) 액체원료 기화시스템 및 가스 분사 장치
JP2006135053A (ja) 気化器および成膜装置
KR100618690B1 (ko) 반도체 제조용 전구체 저장통
JP3533513B2 (ja) 原料供給装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application