KR20010052045A - 일체식 모듈형 처리 플랫폼 - Google Patents

일체식 모듈형 처리 플랫폼 Download PDF

Info

Publication number
KR20010052045A
KR20010052045A KR1020000071994A KR20000071994A KR20010052045A KR 20010052045 A KR20010052045 A KR 20010052045A KR 1020000071994 A KR1020000071994 A KR 1020000071994A KR 20000071994 A KR20000071994 A KR 20000071994A KR 20010052045 A KR20010052045 A KR 20010052045A
Authority
KR
South Korea
Prior art keywords
chamber
processing
substrate
wafer
load lock
Prior art date
Application number
KR1020000071994A
Other languages
English (en)
Inventor
애비 테프만
크레이그 비. 토드
제임스 엔하오 유
대환 다니엘 김
크리스 버크너
시브 쿠마
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010052045A publication Critical patent/KR20010052045A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 전달 챔버를 지지하는 메인 프레임, 하나 이상의 로드 락, 및 전달 챔버에 장착된 처리 챔버를 포함하는 모듈의 진공 처리 장치와, 모듈의 배관 트레이, 및 처리 챔버와 관련된 챔버 트레이를 일반적으로 제공한다. 또한 시스템을 통한 기판 가공 및 기판 시퀀싱의 방법도 제공한다.

Description

일체식 모듈형 처리 플랫폼{INTEGRATED MODULAR PROCESSING PLATFORM}
본 발명은 일반적으로 기판 처리 장치(substrate processing systems) 및 기판의 처리 방법에 관한 것이다. 보다 명확하게는, 본 발명은 진공 처리 장치의 모듈식 작동 방법에 관한 것이다.
평판 패널 디스플레이(flat panel display)를 포함하는 다른 장비와 집적 회로의 조립시, 일반적으로 다양한 전도성, 반전도성 및 유전체 재료를 기판 위에 증착하거나 기판으로부터 제거하는데 진공 처리 장치가 사용된다. 이러한 시스템은 전형적으로 하나 이상의 전단부 로드 락 챔버(front-end load lock chamber), 하나 이상의 전달 챔버 및 하나 이상의 처리 챔버를 포함한다. 상기 장치의 한가지 형태는 챔버가 한번에 하나의 기판을 처리할 수 있도록 구성된 단일 기판 처리 장치이다. 상기 장치의 다른 형태는 일괄 처리 장치(batch processing system)로 알려져 있다. 일괄 처리 장치에서, 다수의 기판이 챔버 내로 주입되며 함께 가공된다. 단일 기판 처리 장치의 장점은 일괄 장치에 비하여 보다 균일한 처리를 제공할 수 있다는 것이다. 그러나, 단일 기판 처리 장치는 기판이 일 장치 상의 다수의 처리 위치 사이에서 전달되는 것이 필요하여 상기 장치로부터 처리 및 기판을 제거하는데 시간이 증가한다.
일반적으로 초진공 예컨대 약 10-8Torr에서 작동하는 일반적인 기판 처리 장치의 불리한 점의 하나는 초진공 상태를 얻기 위해 단계적인 진공에 의존하는 것이다. 도 1은 반도체 처리에서 장점이 있는 하나의 처리 장치의 평면도이다. 작동 중에, 다수의 고립된 진공 영역은 상호 접속되며, 각각의 진공 영역은 개별적으로 압력 제어되며, 이로 인해 웨이퍼가 통과하는 영역들 사이의 압력이 일정한 경우에 웨이퍼는 상기 영역 사이를 이동할 수 있다. 이와 같은 단계적인 진공 처리 장치에서, 기판은 일반적으로 대기압에서 2개의 로드 락(10, 12)중 하나 내로 들어간다. 로드 락은 초기에 제 1 전달 챔버(14)의 압력과 동등한 중간 압력 수준까지 펌핑-다운(pumping-down)되며 개개의 웨이퍼는 로드 락과 제 1 전달 챔버(14) 사이에 전달된다. 이때 기판은 배향 챔버(orienting chamber) 및/또는 탈가스 챔버(degas chamber)와 같은 하나 이상의 예비-처리 챔버(pre-processing chamber;16,18) 내로 들어간다. 이때 기판은 증가된 고진공 수준이 얻어지는 하나 이상의 고립된 단계적인 챔버(20, 22)를 통과하여 전달된다. 마지막으로, 기판은 초진공 상태를 유지하는 후단부 전달 챔버(24) 내로 들어가는데, 여기에서는 기판이 초진공 상태를 유지하는 바람직한 처리 챔버(26) 내로 이동된다. 따라서, 약 10-3Torr의 압력과 같은 중간 압력에서(압력이 10-7Torr 보다 큰) 초진공 처리 압력까지 기판을 천이시키기 위하여, 기판은 대기상태로부터 제 1 중간 압력이 유지되는 전달 챔버까지 천이되고, 그 다음에 고립 챔버를 통과하여 초진공이 유지되는 다른 전달 챔버 내로 전달된다. 이와 같은 장치가 초진공 범위를 유지하는데 필요한 체적을 최소화하기 위해 필요한 반면, 기판은 부가적인 기판 전달 단계 및 장치내의 기판의 가공에 필요한 시간을 증가시키는 단계적인 챔버의 작동을 필요로 하는 고립 챔버를 통과하는 것이 필요하다.
일반적인 처리 장치의 다른 문제는 이러한 장치의 대체로 특정한 처리과정의 진행을 수행하도록 제작된 데 있다. 결과적으로, 처리과정의 진행이 변화하고 또는 새로운 처리과정이 개발되는 때 일반적인 장치는 쉽게 재배열할 수 없다. 이러한 장치의 조립체에서, 예컨대, 처리 가스, 헬륨 공급 및 회수, 장치 배기, 전력 파워 등의 설비는 각각 챔버의 제작 설비의 중앙 지점으로부터 분배된다. 결과적으로, 장치는 전통적으로 각각의 챔버로부터 이들이 제작 설비에 접속될 수 있는 장치상의 지점까지 연결되는 필수 배관 접속을 가지도록 조립된다. 이는 장치에 장착되는 복잡한 배관라인을 초래하여 장치상의 각각의 위치에서 다른 챔버를 수용하기 위한 제거 및 재배열을 어렵게 한다.
예컨대, 일반적인 처리 장치은 중앙에 위치한 가스 박스 또는 질량 유동 제어기(MFC) 분포 패널을 가진다. 사용되는 특정의 MFC는 특정의 처리 챔버 및 처리 장치에서 수행되어질 처리 과정에 의존한다. 가스 박스는 메인 프레임(mainframe)의 중앙에 위치하므로, 특정한 MFC를 특정한 챔버에 접속하는 배관은 MFC가 가스 박스 내에 위치한 장소 및 특정 챔버의 접속 지점이 위치한 장소에 따라 변화한다. 결과적으로, 수없이 많은 가스 라인 배열, MFC 배열, 및 챔버 배열이 발생된다. 챔버가 장치 상에 배치되고 그것의 가스 라인이 부착되면, 이 챔버가 장치상의 다른 위치로 이동하는 것이 가스라인의 재구성을 요구한다. MFC 및 각각의 가스 라인의 위치는, 상기 챔버가 장치상의 다른 챔버에 관련하는 위치와 이미 장착의 도움으로 얼마나 많은 MFC가 장착 되었는가에 의존하기 때문에 몇몇 장치의 제조과정 중에, 다른 장치 상의 동일 메인 프레임 위치에 배치된 챔버는 상이한 가스 라인 배열을 가지게될 수 있다.
도 2는 종래의 처리 장치에 대한 설비 및 배관 구성의 평면도이다. 상기 언급된 바와 같이, 다양한 처리 챔버에 공급되는 가스의 유량을 제어하기 위한 MFC는 장치 상에 장착된 처리 챔버의 수와 형태에 의존하면서 메인 프레임의 중앙에 배열되어 있다. 그러므로, 각각의 챔버에 대해 부착된 MFC의 공급 라인의 형상 및 크기는 이전에 장착된 다른 MFC 및 챔버에 대한 질량 유동 제어기의 위치에 의존한다. 따라서, MFC로부터의 가스 공급 라인은 장치에서 장치로 챔버에서 챔버로 변화하며, 이로 인해 질량 유동 제어기 및 챔버 부착 지점에 관한 변화성을 수용하기 위해, 거의 무한대의 처리 공급 라인이 제작될 것을 필요로 한다.
제어 공기 배관 또는 공압 제어 장치에서 유사한 문제가 발생한다. 도 2의 중앙부에서 도시된 바와 같이, 압축 공기는 일반적으로 메인 프레임 위의 중앙부에 위치하는 공기 제어 밸브에 공급된다. 질량 유량 제어기와 마찬가지로, 각각의 공기 제어 밸브는 공기 신호를 다양한 챔버에 전달한다. 질량 유량 제어기에 대한 라인과 마찬가지로, 제어 공기 신호가 각각의 다른 챔버 및 위치상의 다양한 공압식으로 작동되는 부품에 가요성 라인 접속의 다수의 변화가 제공되는 것을 필요로 한다.
도 2에서 또한 도시된 바와 같이, 각각의 챔버로 공급되는 설비는 U형상으로 배열된다. 챔버에 제공된 라인의 변화는 메인 프레임 내에 위치하는 다양한 설비 공급 지점에 대한 챔버의 접속을 더 복잡하게 한다. 메인 프레임과 챔버 사이의 접속 지점의 변화는 복잡한 배관 배열, 서비스의 어려움, 계속되는 보수 기능의 수행에 대한 증가된 복잡성을 결과적으로 초래한다. 또한, 상기 복잡성 및 변화하는 배관 배열을 가지는 장치의 생산은 까다롭고 시간이 많이 소요된다.
그러므로, 주어진 영역에서 쉽게 유지 및/또는 재배열이 가능하며 주어진 시간 내에서 높은 기판의 출력량이 가능한 모듈식 진공 처리 장치가 필요하다.
도 1은 종래의 처리 장치의 상부 평면도이다.
도 2는 종래의 처리 장치내의 라인 설비의 개략적인 도면이다.
도 3은 본 발명의 처리 장치의 일 실시예의 도면이다.
도 4a는 본 발명의 처리 장치의 일 실시예의 상부 평면도이다.
도 4b는 본 발명의 리프트(lift)의 개략적인 단면도이다.
도 4c는 본 발명의 로드 락(load lock)의 개략적인 단면도이다.
도 5는 본 발명의 처리 장치의 일 실시예의 단순화된 도면이다.
도 6 및 7은 본 발명의 메인 프레임 배관 트레이(mainframe plumbing tray)의 도면이다.
도 8은 본 발명의 메인 프레임 배관 트레이의 일 실시예의 상부 평면도이다.
도 9는 본 발명의 메인 프레임 배관 트레이의 설비 인터페이스의 측면도이다.
도 10은 본 발명의 메인 프레임 배관 트레이의 3개의 챔버 인터페이스의 측면도이다.
도 11a 및 도 11b는 본 발명의 챔버 트레이의 일 실시예의 도면이다.
도 12는 배관 트레이 내에 설치된 가스 라인 매니폴드(gas line manifold)의 도면이다.
도 13은 배관 트레이 내에 설치된 헬륨(불활성 유체)의 도면이다.
도 14는 배관 트레이 내에 설치된 시스템 진공 매니폴드의 도면이다.
도 15는 배관 트레이 내에 설치된 물 공급 및 회수 매니폴드(water supply and return manifold)의 도면이다.
도 16은 각각의 설비와의 관계를 도시하는 메인 프레임 배관의 도면이다.
도 17은 각각의 설비와의 관계를 도시하는 메인 프레임 배관의 단면도이다.
도 18은 6개의 챔버 트레이에 접속된 메인 프레임 배관 트레이의 상부 평면도이다.
도 19는 모듈식 DC 파워 서플라이의 정면도이다.
도 20은 모듈식 AC 로드 센터의 정면도이다.
도 21은 시스템 제어기의 개략적인 도면이다.
도 22는 전자적 랙 및 냉각 시스템(electronics rack and cooling system)의 도면이다.
도 23은 모듈식 장비 선반의 개략적인 도면이다.
도 24 및 도 25는 본 발명의 단일체의 또 다른 실시예의 도면이다.
도 26 및 도 27은 처리 시퀀스를 도시하는 시스템의 개략적인 도면이다.
도 28 내지 52는 처리 단계를 도시하는 처리 장치의 개략적인 도면이다.
*도면의 주요 부분에 대한 상세한 설명*
14 : 제 1 전달 챔버 24 : 후단부 전달 챔버
206 : 로봇 212, 214 : 이동 블레이드
216 : 배향기 218 : 웨이퍼 저장 위치
222 : 기판 300 : 메인 프레임
301, 303 : 주 블레이드 302 : 전달 챔버
304 : 덮개 305, 307 : 전달 리프트
306 : 덮개 리프트 기구 309, 315 : 보조 블레이드
310 : 센서 311, 313 : 전달 로봇
312 : 뷰포트 314, 316 : 로드 락 챔버
318 : 진공 펌프 320 : 저온 펌프
322-326 : 처리 챔버 350 : 배관 트레이
354 : 진공 매니폴드 370 : 물 매니폴드
382 : 포위부 460 : 가스 공급 장치
461, 462, 463 : 절연 밸브 464 미터 밸브
460 : 디퓨져 467, 488 : 도관
515 : 진공 라인 1110 : 가스 패널
1701 : 가스 매니폴드
본 발명은 일반적으로 처리 장치 및 모듈 부품을 제공하며 기판의 진행과 처리 방법도 제공한다. 하나의 관점에서, 기판 가공용 장치는 전달 챔버, 전달 챔버에 접속된 하나 이상의 처리 챔버, 전달 챔버에 인접하게 설치되고 하나 이상의 처리 챔버와 로드 락 챔버에 대한 접속 설비를 가지는 모듈식 배관 트레이(modular plumbing tray), 및 하나 이상의 처리 챔버, 로드 락 챔버와 전달 챔버에 인접하게 설치된 챔버 트레이를 포함하며, 상기 챔버 트레이는 배관 트레이 내의 하나 이상의 접속 설비에 접속된 접속 설비를 가진다.
다른 관점에서, 본 발명은 대기압으로부터 로드 락 챔버 내로 기판을 주입하는 단계, 가스를 제거하는 단계 및/또는 로드 락 챔버가 진공 상태로 펌핑되는 동안 로드 락 챔버 내에서 기판을 예열하는 단계, 기판을 전달 챔버 내로 도입하는 단계, 및 하나 이상의 처리 챔버 내에서 기판을 가공하는 단계를 포함하는 기판의 처리법을 제공한다. 하나의 관점에서, 가열 부품은 로드 락 챔버가 진공 상태까지 펌핑되는 동안 작동한다. 상기 방법은 기판을 로드 락 챔버 내로 도입하는 단계, 로드 락 챔버 내에서 기판을 냉각하는 단계, 및 이때 대기압 상태로 로드 락 챔버를 배기하는 단계를 더 포함한다.
다른 관점에서, 본 발명은 하나 이상의 설비 인터페이스 및 하나 이상의 챔버 인터페이스를 가지는 포위부(enclosure) 및 하나 이상의 설비 인터페이스와 아나 이상의 챔버 인터페이스 사이에서 접속된 상기 포위부 내에 설치된 하나 이상의 처리 가스 매니폴드(manifold), 진공 매니폴드, 물 매니폴드 및 헬륨 매니폴드를 포함하는 처리 장치상의 장치에 대한 분배 설비용 장치를 제공한다.
하나의 관점에서, 본 발명은 분배 설비 내에 설치된 하나 이상의 전자 박스, 가스 패널, 진공 라인 및 제어 장치를 가지는 지지 프레임을 포함한다.
하나의 관점에서, 본 발명은 처리 장치내의 각각의 로봇상의 2개의 블레이드 위에 한 쌍의 기판을 위치시키는 단계, 한 쌍의 제 1 처리 챔버와 평행하게 기판을 이동시키는 단계, 및 이때 한 쌍의 제 2 처리 챔버와 평행하게 기판을 이동시키는 단계를 포함하는 기판의 처리 방법을 제공한다. 하나의 관점에서, 기판은 한 쌍의 제 1 및 제 2 챔버 내로 거의 동시에 이동한다. 더욱이 하나의 관점에서, 로봇은 공통의 전달 체적 내에 설치된다.
하나의 관점에서, 모듈식 처리 장치은 메인 프레임 지지물, 메인 프레임에 접속된 전달 챔버 모듈, 전달 챔버에 접속된 하나 이상의 로드 락 챔버, 전달 챔버에 접속된 하나 이상의 처리 챔버, 인접한 전달 챔버에 설치된 모듈식 설비 트레이, 및 인접한 하나 이상의 처리 챔버에 설치된 챔버 트레이를 포함하는 장치를 제공한다.
이상에서 언급되는 본 발명의 특징, 장점 및 목적을 자세히 기술하고 이해하기 위해, 이상의 내용을 간단히 요약하는 본 발명의 특징적인 기술은 첨부된 도면에서 도시된 실시예에 의해 참고될 수 있다.
그러나, 첨부된 도면은 본 발명의 일반적인 실시예를 도시하며 따라서 본 발명의 영역을 제한하지 않으며 본 발명은 다른 등가의 실시예를 포함한다.
도 3은 본 발명에 의한 자동화된 처리 장치(200)의 일 구성에 관한 도면이다. 자동화된 처리 장치(200)는 일반적으로 공장 인터페이스(factory interface;205), 메인 프레임(300), 및 보조 장치(400)를 포함한다. 메인 프레임(300)은 일반적으로 전달챔버(302), 하나 이상의 기판 로드 락(314, 316), 처리 챔버(322-326)(챔버 위치(321)는 단일체(monolith)에 장착된 챔버 없이 도시되어 있다.), 메인 프레임 배관 트레이(350), 및 챔버 트레이(380)를 포함한다. 처리 챔버는 전달 챔버(302) 상에 제공된 적어도 6개의 처리위치 중의 어느 하나에 장착된다. 6개의 처리 챔버 위치를 가지는 구성이 도시 되었으나, 연장된 전달 챔버(302)상에 장착된 8개 또는 10개의 처리 챔버도 또한 본 발명에서 고려된다. 2개의 단일 웨이퍼 로드 락(314, 316)은 공장 인터페이스(302)의 대기압과 전달 챔버(302) 내의 진공 상태 사이에서 기판을 전달하기 위한 전달 챔버(302)의 전면 단부 상에 장착된다. 메인 프레임(300)은 로드 락(314, 316), 전달 챔버(302), 및 처리 챔버(322-326)에 대략적인 진공(roughing vaccum)(일반적으로 약 10-3Torr의 범위내의)을 제공하기 위한 탑재된 진공 펌프를 지지할 수 있다. 진공 펌프는 서브 패브(sub fab) 또는 기초부 내의 메인 프레임 밑에, 또는 메인 프레임과 같은 높이에 위치될 수 있다. 대안적으로, 탑재된 진공 펌프(318)는 메인 프레임 상에서 사용된 지점에 배치될 수 있다. 일반적으로, 단일 진공 펌프는 2개의 단일 기판 로드 락(314, 316), 전달 챔버(302), 및 6개의 PVD 타입 처리 챔버에 대하여 대략적인 진공(예컨대 약 10-3Torr의 압력)을 제공하기에 충분하다. 부가적인 러핑 펌프(roughing pump;318)는 높은 처리 가스 유량을 가지는 처리에 대해 부가되거나 또는 전용 러핑 펌프를 가지는 챔버를 작동하는 것이 바람직한 경우에 부가된다. 예컨대 2개의 예비-청정 챔버(pre-clean chamber) 및 4개의 PVD 챔버를 가지는 경우에 부가된다. 예컨대, 1개의 러핑 펌프는 4개의 PVD 챔버 각각, 로드 락(314, 316), 및 전달 챔버(302)와 결합하여 대략의 진공을 제공하며, 다른 펌프는 각각의 2개의 예비-청정 챔버와 결합하여 대략의 진공을 제공한다.
진공 장치(317)는 전달 챔버(302)와 결합하며 전달 체적(299) 내에서 초진공 압력(예컨대 약 10-7Torr)을 제공하고 유지하도록 작동하는 러핑 펌프(318)와 저온-펌프(320)를 포함한다. 처리 챔버(322-326)는 전달 챔버(302)와 결합하며 챔버 내에서 수행되는 처리에 따라 초진공 압력 범위(약 10-3에서 약 10-7Torr)까지 고진공을 유지한다. 처리 챔버 내의 진공은 저온-펌프/러핑 펌프 조합에 의해 제공된다. 저온-펌프(320)는 챔버(324, 325)로 도시된 바와 같은 챔버에 부착되며, 러핑 펌프는 메인 프레임(300)에 부착된 탑재된 진공 펌프(318)이다. 처리 챔버(322-326)와 마찬가지로, 단일 기판 로드 락(314, 316)은 또한 저온-펌프 및 러핑 펌프를 가진다.
덮개(lid;304)는 지지 프레임상의 단일체의 상부 위에 이동 가능하게 설치되어 있다. 덮개 리프트 기구(lid lift mechanism;306)는 전달 챔버(302)로부터 덮개(34)를 상승시켜 전달 체적(299)으로의 접근을 허용하기 위해 제공된다. 덮개는 전달 체적(299)을 형성하기 위해 폐쇄 위치 내에서 전달 챔버(302)의 상부에 밀봉적으로 접속된다. 덮개(304)는 전달 챔버(302) 내로의 시각적인 접근을 제공하기 위해 덮개를 통과하여 설치된 다수의 뷰포트(view port;312)를 포함한다. 웨이퍼 감지 장치(319)는 덮개(304)의 상부에 위치한다. 웨이퍼 감지 장치(319)는 허브(hubs;308) 및 센서(310)를 포함한다. 센서(310)는 뷰포트(312) 위에 위치하며, 전달 체적(299) 내에 설치된 전달 로봇(311, 313;도 4에서 도시된)의 블레이드 상에 기판 또는 다른 작업물이 존재 또는 부존재 하는지 감지한다.
공장 인터페이스(205)는 대기 로봇(atmospheric robot;206), 대기 기판 배향기(atmospheric substrate orienter;216), 및 웨이퍼 저장 위치(wafer storage;218)를 포함한다. 공장 인터페이스(205) 및 메인 프레임(300)은 웨이퍼 처리 설비 또는 청정룸 내에 위치한다. 또한, 공장 인터페이스(205) 및 메인 프레임(300)은 공장 인터페이스(205)와 메인 프레임(300) 사이의 대기에 노출된 기판이 오염되지 않도록 하기 위해 제어된 상태에서 청정룸을 에워싼다. 어떤 경우에, 기판(222)은 오염의 위험 없이 웨이퍼 저장 위치(218), 대기 배향기 스테이션(216), 및 단일 기판 로드 락(314, 316) 사이에서 대기 로봇(206)에 의해 처리된다. 대기 로봇(206)은 저장 위치(218), 배향기(216), 및 로드 락(314, 316)의 앞쪽 위치 사이에서 이동 가능하다. 대기 로봇(206)은 이동 가능한 블레이드(212, 214)를 포함한다. 하나의 예시적인 로봇(206)은 캘리포니아, 밀피타스의 로체 자동화 주식회사(Rorze, Automation Inc. of Milpitas, California)의 제품 번호 RR701 시리스 로봇으로부터 이용 가능하다. 일본 에이치의 MECS 주식회사에서 제공되는 무궤도 로봇(모델 번호 UTM 3000 및 UTM 3500)도 또한 본 장치에서 사용될 수 있다.
보조 장치(400)는 장치 제어기(410), 장비 선반(430), 및 메인 AC 박스(440)를 포함한다. 장치 제어기(410)는 컴퓨터 장치 및 자동화된 처리 장치(200)의 작동을 제어하기 위한 다양한 전자장비를 포함한다. 장비 선반(430)은 파워 서플라이, RF 생성기, 처리 장치내의 기판의 처리에 사용된 다른 장비를 지지한다. 메인 AC 박스(440)는 웨이퍼 처리 설비로부터의 220 볼트, 3상, 400엠프의 전기적 파워를 수용한다. 이때 전기는 각각의 처리 챔버, 펌프, 압축기, 열교환기, 처리 장치(200) 내에 포함된 다른 전기적 부하에 대해 조절되며, 필요에 따라 변환되며, 분배된다.
진공 펌프는 메인 프레임 상에, 예컨대 챔버(322, 323)와 챔버(325, 324)사이에 위치한다. 메인 프레임상의 진공 펌프의 배치는 공통으로 양도된 동시 계류중인 출원 에서 더욱 더 자세히 기술된다.
도 4a는 전달 챔버(302), 공장 인터페이스(205), 2개의 단일 기판 로드 락(314, 316), 및 위치(1-6)에 위치한 6개의 처리 챔버(321-326)를 포함하는 처리 장치(200)의 개략적인 평면도이다. 덮개(304)는 전달 체적(299)및 전달 체적(299)내의 부품들을 노출하기 위해 제거되어 진다. 전달 챔버(302)는 이음새가 없도록 알루미늄 블럭을 가공한 긴 6각형 형상을 가지고 있다. 긴 6각형 형상은 적어도 6개의 처리 챔버 위치 및 2개의 로드 락(314, 316)을 제공한다. 대안적으로, 전달 챔버는 지지 프레임과 지지 프레임 위에 밀봉식으로 장착된 스킨(skin)으로부터 형성된다.
전달 로봇(311, 313) 및 전달 리프트(305, 307)는 상기 장치들에 의해 수행된 처리시퀀스가 필요로 함에 따라 기판이 공장 인터페이스로부터 각각의 처리 챔버(321-326)로 이동하도록 전달 체적(299) 내에 위치한다. 전달 로봇(311, 313)은 로드 락 챔버(314, 316), 처리 챔버(321-326), 및 리프트(305, 307) 사이에서 기판을 이동시킨다. 리프트(305, 307)는 적어도 부분적으로는 전달 챔버 내에 설치된 지지 받침대(pedestal)를 포함한다. 리프트(305, 307)는 바람직하게는 적어도 수직 방향으로는 이동 가능하며 또한 회전 가능하다. 리프트(305, 307)는 이하에서 더욱 자세히 기술된다.
각각의 로드 락(314, 316) 및 처리 챔버(321-326)에 전달 체적(299)에 접근시키거나 이로부터 절연시키기 위해 접근 포트(access ports;331) 및 슬릿밸브(332)가 전달 체적(299) 및 전달 챔버(302) 내에 설치된다. 접근 포트(331)는 처리 챔버(321-326) 및 로드 락(314, 316)을 지지하면서 인접한 각각의 처리위치에 인접한 전달 챔버(302)의 벽에 형성되어 있다. 슬릿 밸브(332)는 전달 챔버(302)의 바닥을 통과하여 설치되며 전달 체적(299)과 각각의 처리 챔버(321-326) 및 로드 락(314-316) 사이에서 밀봉 출입구를 통해 격리를 제공한다. 전달 로봇(311, 313) 및 리프트(305, 307)는 로드 락(314, 316) 및 처리 챔버(321-326)로의 작업물의 이동과 상기 로드 락과 챔버로부터의 작업물의 이동을 위하여 제공된다. 각각의 전달 로봇(311, 313)은 주된 블레이드(301, 303), 및 보조 블레이드(309, 315)를 연장시키며 수축시키는 모터(도시되지 않았음)에 결합하며, 로봇이 회전하도록 허용한다. 전달 로봇(311)의 주된 블레이드(301) 및 보조 블레이드(303)는 기판을 로드 락(314, 316) 및 지점(1, 6)과 리프트(305, 307)에 위치하는 처리 챔버(321, 326) 사이로 전달한다. 전달 로봇(313)의 주된 블레이드(309) 및 보조 블레이드(315)는 기판을 리프트(305, 307) 및 지점(2, 3, 4, 5)에 위치하는 처리 챔버(322-325) 사이에 전달한다.
작동 중에, 대기 로봇(206)은 이동 가능한 블레이드(214, 212)의 어느 한쪽상의 웨이퍼 저장 위치(218)로부터 기판(222)을 전달하기 위해 미끄럼 위치(208)를 따라 이동한다. 블레이드(214, 212)가 이동함에 따라, 기판(222)은 배향기(216)로 전달된다. 그러나, 기판(222)은 단일 기판 로드 락(314, 316)의 어느 한쪽 내로 직접 전달된다. 대기 배향기(216)에 의해 배향된 후에, 배향된 웨이퍼는 대기 로봇(206)에 의해 한쪽의 단일 기판 로드 락(314, 316) 내로 전달된다. 단지 단일 블레이드를 가지는 대기 로봇이 사용될 수 있는 반면, 도 3에서 도시된 듀얼 블레이드 로봇(206)이 바람직하다. 듀얼 블레이드 로봇은 제 1 기판이 제거된 위치 내로 또 다른 기판을 배치하기 이전에 회수된 기판의 배치를 요구함이 없이 기판을 교환하는 것을 수행한다. 기판의 교환에서, 예컨대 단일 기판 로드 락(314) 내에 위치한 기판은 이동 가능한 빈 블레이드(212)에 의해 하역 상태가 되며 이동 가능한 블레이드(214) 상에 위치한 배향된 기판(222)은 단일 기판 로드 락(314)내의 배향된 웨이퍼에 위치한다. 이때 처리된 기판이 로봇(206)에 의해 적당한 저장 위치(218)에서 카세트(cassette)에 회수되는 경우 단일 기판 로드 락(314) 내에 위치한 배향된 웨이퍼는 요구되는 바에 따라 예열되며 가스가 제거된다. 같은 방법에서, 배향된 웨이퍼가 대기 배향기(216)상에 위치하는 곳에서, 이동 가능한 빈 블레이드(214)를 가지는 로봇(206)은 배향되지 않은 웨이퍼를 이동 가능한 블레이드(212)상의 배향기(216)에 전달 가능하며, 이동 가능한 블레이드(214)를 가지는 배향된 웨이퍼를 제거하며, 이때 대기 배향기(216)상의 블레이드(212) 위에 배향되지 않은 웨이퍼를 위치시킨다. 그런다음, 처리된 웨이퍼가 단일 기판 로드 락(316)으로부터 제거되기 위해 대기되는 경우, 예컨대 이동 가능한 블레이드(214) 및 이동 가능한 빈 블레이드(212) 위의 배향된 웨이퍼를 가지는 로봇(206)은 이때 회전 가능하며 미끄럼 가능한 위치(208)를 경유하여 단일 기판 로드 락(316)에 인접한 위치로 이동한다. 처리된 웨이퍼는 이때 이동 가능한 블레이드(212)에 의해 제거되며 이동 가능한 블레이드(214) 위의 배향된 웨이퍼는 단일 기판 로드 락(316) 내에 위치한다. 로봇(206)은 회전하며 이때 미끄러질 수 있는 위치(208)를 경유하여 요구되는 카세트(220) 내로 처리된 기판을 위치하도록 이동한다.
도 4b는 리프트(305, 307)의 개략적인 단면도이다. 리프트는 적어도 부분적으로는 전달 챔버(302)를 통과하여 연장하고 상부 단부상의 받침대(402)를 지지하는 지지 샤프트(400)를 일반적으로 포함한다. 리프트 핑거(lift finger;403)는 받침대에 장착되며 기판 리프트 위치 내로 이동된 경우에 기판(222)을 지지한다. 스테퍼 모터(stepper motor) 또는 이와 유사한 것과 같은 리프트 조립체(404)는 전달 챔버 밑에 장착되며 전달 챔버 내에서 샤프트가 상하로 이동할 수 있도록 샤프트(400)에 접속된다. 회전 가능한 조립체(406)는 전달 챔버 내에서 받침대(402)에 대한 회전 운동을 제공하기 위해 샤프트(400)에 또한 접속한다. 벨로우즈(bellows;408)는 샤프트와 전달 챔버 사이의 필요한 밀봉을 제공하기 위해 샤프트(400)와 전달 챔버(302) 사이에 접속된다. 일반적인 리프트는 캘리포티아 산타클라라에 위치한 어플라아드 머터리알스 주식회사에 의해 제공된다. 리프트(305, 307)는 전달 로봇(311, 313) 사이에서 패스 지점(hand off point)으로 사용된다. 또한, 리프트(305, 307)는 기판이 처리 장치(200)을 통과하여 이동하는 경우 기판(222)의 요구되는 배향을 유지한다.
자동화된 처리 장치(200)의 한가지 장점은 기판의 배향이 처리과정 체적(301)의 외부에서 발생한다는 점이다. 기판은 대기 배향기(216) 내에서 배향되며 기판이 처리 장치(200)상의 다양한 처리 위치를 통과하여 이동하는 경우 이때 배향은 유지된다. 따라서, 기판(222)은 전달 챔버(302) 내로 적재되기 전에 대기 배향기(216) 내에서 배향될 수 있으며 리프트(305, 307)는 기판(222)이 다양한 처리 위치(1-6)를 통과하여 이동하는 경우 기판의 배향을 유지할 수 있다. 예컨대, 회전의 대표적인 예정된 각도는 약 101도이다. 따라서, 로봇(311) 쪽으로 향하는 기판 노치를 가지면서 로봇(311)으로부터 리프트(307)상으로 적재되는 기판은 기판의 노치가 로봇(313)의 중심으로 향하도록 약 101도의 각도로 시계 방향으로 회전한다. 결과적으로, 기판의 배향은 같은 기판 배향이 지점(2-5)에 위치한 챔버에서 나타나도록 유지된다. 지점(2-5)에 위치한 챔버에서의 처리 후에, 기판은 수행되는 특정한 처리 시퀀스에 따라 기판 로드 락(314, 316) 또는 처리 위치(1, 2)의 어느 하나 내로의 배치를 위하여 전달 로봇(311)에 의해 다루어지도록 리프트(305, 307)의 어느 한쪽에 위치한다.
도 4c는 하나의 로드 락(314)의 단면도이다. 로드 락(316)은 유사하게 배열되어 있다. 단일 기판 로드 락(314, 316)은 공장 인터페이스(205)와 전달 챔버(302)사이에 장착되어 있다. 각각의 단일 기판 로드 락(314, 316)은 기판이 처리 내부 체적(299) 및 처리 챔버 내로 전달되기 전에 휘발성의 오염물을 제거하기 위한 가열과 가스제거용 램프 어래이(lamp array)와 같은 열원(450)을 포함한다. 열원(450)은 석영 창(quartz window;448)에 의해 로드 락의 내부 체적과 분리된다. 또한, 각각의 단일 웨이퍼 로드 락(314, 316) 내에 제공된 기판 지지 받침대(454)이는 기판내의 냉각 기능을 보조하기 위해 수냉각 장치(362)와 함께 지지부(454) 내에 배치된 도관(456)에 의해 수 냉각된다. 리프트 후프(lift hoop;480)는 로봇 블레이드(212, 214, 301, 303)로부터의 기판을 수용하고 로봇 블레이드 상에 기판을 위치시키기 위해 단일 기판 로드 락 내에서 제공된다. 리프트 후프(480)는 적당한 리프트 조립체(486)와 결합된 지지 샤프트(482)에 의해 지지된다. 리프트 조립체(486)는 전달 위치(487), 부양 위치, 은선으로 도시된 탈가스 위치(degas position;488), 및 은선으로 도시된 냉각 위치(cool down position;490)와 같은 요구되는 위치 사이에 있다. 기판은 핑거(492)에 의해 지지되며 로드 락이 전달 압력으로 진공 상태가 되는 경우 복사 열원(450)이 기판을 가열하기 위해 탈가스 위치(488) 내에 위치한다. 냉각되는 동안, 지지 후프(480)는 기판이 지지 받침대(454) 상에 위치하거나 지지 받침대에 의해 냉각되도록 냉각 위치(490)로 이동한다. 단일 기판 로드 락(314, 316)의 내부 체적은 대기압에서 진공 압력까지 변화에 필요한 시간이 감소하도록 최소화된다. 러핑 펌프(318) 및 저온-펌프(320)의 복합된 이용과 함께 로드 락(314, 316)의 최소화된 내부 체적은 로드락(314, 316)이 대기압에서 수 초 내에 약 10-7Torr 보다 큰 전달 압력으로 변화하는 능력을 제공한다. 이와 같은 급속한 펌프 시간이 가능한 반면, 실제의 압력 변화 시간은 요구되는 예열 및 탈가스 시퀀스에 의해 결정된다. 전달 챔버(302)에 존재하는 완전하게 처리된 기판은 로드 락(314, 316)내의 수 냉각된 기판 지지부(454)가 기판 냉각 처리를 조력하기 위해 히트 싱크(heat sink)로 작용하는 곳에서 로드 락(314, 316)중의 하나 내로 적재된다. 냉각 처리는 고진공 전달 압력으로부터 대기압까지 로드락이 변화하는 경우 일반적으로 불활성 가스 예컨대 아르곤 또는 질소와 같은 통기 가스(vent gas)를 로드 락의 내부 체적 내로 제공함으로서 달성된다. 각각의 단일 기판 로드 락(314, 316)은 자동화된 처리 장치(200) 내에서 처리된 기판에 대한 예열, 탈가스, 및 냉각 기능을 수행한다.
가스 공급 장치(460)는 단일 웨이퍼 로드 락(314, 316)의 내부 체적(454)과 연통한다. 가스 공급 장치(460)는 절연 밸브(461, 462, 463), 미터 밸브(464) 및 디퓨져(466)를 포함한다. 도관(467, 488)은 로드 락(314, 316)의 내부 체적과 함께 다양한 부품과 결합한다. 일 실시예에서, 가스 공급 장치(452)는 단일 기판 로드 락 내에서 미립자의 생성 없이 신속하게 배기하기 위해 불활성 가스를 제공하기 위해 사용된다. 여기에서 사용된 바와 같이, 미터 밸브(464)는 단일 기판 로드 락 내로의 가스의 층류 유동을 제공하기 위해 조절되며 이때 주어진 시간 후에, 가스 공급 장치는 더 높은 가스 유동을 허용하는 다른 라인으로 교환된다. 더 높은 유량로 변환하기 전에 단일 기판 로드 락의 내부 체적을 충전하는 가스의 측정된 층류 유동을 제공함으로서 미립자의 생성을 최소화 시킬 수 있다고 믿어진다. 또한 단일 기판 로드 락(314)의 내부 체적은 러핑 펌프(318) 및 저온-펌프(320)와 연통하며 이들 펌프는 각각 절연 밸브(472, 470)에 의해 로드 락(314, 316)의 내부 체적으로부터 격리되어 있다. 러핑 펌프(318)는 단일 기판 로드 락(314)을 소개하기 사용된다. 저온-펌프(320)는 이때 단일 기판 로드 락(314)으로부터 적당한 고 진공을 유지하는 내부 전달 체적(299) 내로 기판의 전달을 촉진하기 위해 약 10-2에서 약 10-7Torr의 범위 내에서 고진공을 제공하기 위해 단일 기판 로드 락(314)의 내부 체적을 더욱 진공상태로 만든다.
작동 중에, 기판은 단일 기판 로드 락(314) 내로 적재되며 예열/탈가스 및 냉각을 위해 받침대 리프트 후프(480) 상에 위치한다. 단일 기판 로드 락(314)의 한가지 대표적인 작동은 대기상태로부터 내부 전달 체적(299)의 감소된 작동 진공 내로의 탈가스, 예열, 및 촉진을 제공하는 것이다. 단일 기판 로드 락(314)에 의해 적재되는 기판은 웨이퍼상의 석영(448)을 통과하여 전달되는 램프 모듈(450)에 의해 생성된 복사 에너지에 의해 가열된다. 또한, 가스 공급 장치는 로드 락의 제거된 가스를 배기하기 위해 불활성 가스를 제공하는데 사용한다. 예열 및 탈가스가 완료된 후에, 단일 기판 로드 락(314)이 적당한 진공 수준으로 진공상태가 되는 경우 예열 및 탈가스된 기판은 전달 체적(299) 내로 전달되어진다. 저온-펌프(320) 및 러핑 펌프(318)는 단일 기판 로드 락(314)의 내부 체적의 급속한 진공화를 제공하기 위해 일제히 작동한다. 또한, 단일 기판 로드 락(314)은 자동화된 처리 장치(200) 내에서 처리된 기판의 후처리 냉각을 위한 냉각 챔버로 작동한다. 이런 방법에서 처리과정 후에 전달 체적(299)에 존재하는 기판은 단일 기판 로드 락(314)내의 기판 지지부 상에 위치한다. 냉각수는 냉각을 제공하기 위해 받침대(454)를 통과하여 제공된다. 또한, 단일 기판 로드 락(314)으로부터 공장 인터페이스(205)내의 저장 위치(218)상의 저장 카세트(220) 내로의 기판을 더욱 진행시키고 고진공으로부터 대기압까지의 단일 기판 로드 락의 내부 압력의 변화를 더 촉진하기 위하여, 불활성 가스가 단일 기판 로드 락(314) 내로 제공된다. 단일 기판 로드 락(314) 내로의 가스 제공에 의해 단일 기판 로드 락(314)은 수분을 초래하고 예열 및 탈가스 기능을 수행하는 단일 기판 로드 락(314)의 능력을 방해하는 공장 인터페이스 근처의 외부 대기로부터의 오염물 및 수분이 단일 기판 로드 락(314) 내로 들어가지 못하도록 약간 고압에서 유지된다. 또한, 단일 기판 로드 락(314)의 가압상태의 근사한 초과는 공장 인터페이스(205) 근처의 외부 대기로부터의 미립자 또는 오염물이 단일 기판 로드 락(314)의 내부 체적으로 들어오는 것을 방지하는 가능성을 감소시킨다.
본 발명에 의해 처리 장치(200) 내에서 수행된 냉각 기능이 감소된 압력으로부터 대기로 배기된 냉각된 테이블상의 단일 기판 로드 락(314) 내에서 수행된 냉각 기능을 가지는 것에 의해 심화되는 것을 인식할 수 있다. 기판이 공장 인터페이스(205)상의 저장 지점(218) 위의 저장 카세트(220) 내에 배치되도록 로봇(206)에 의해 처리되는 동안 부가적인 냉각 장치가 작동한다. 따라서 기판의 냉각은 상기 작동 동안 발생하며 장치의 냉각 효율은 개선된다.
단일 기판 로드 락에 대한 처리 가스 공급 장치는 2가지의 목적을 가지고 있다. 배기 구성에서, 가스 공급은 미터 밸브(464)에 의해 단일 기판 로드 락(314)의 내부 체적 내로 대량의 가스를 제공한다. 대량의 가스가 챔버 내로 흘러들어 간 후에, 이때 더욱더 신속한 가압을 제공하고 단일 기판 로드 락(314)의 신속한 배기 시퀀스를 달성하는 배기하도록 완전한 유동이 시작되며 이로 인해 입력량은 더 증가한다. 다른 실시예에서, MFC는 더욱 더 정교한 가스 제어를 위해 제공된다. 따라서, 본 실시예에 의해 수행되는 탈가스 방법은 요구되는 탈가스 방법에 의한 질량 유동 제어기에 의해 완전하게 유동된다.
도 5는 전달 챔버(302), 메인 프레임 배관 트레이(350), 및 각각의 챔버 밑에 설치된 챔버 트레이(380)를 가지는 전달 챔버(302)에 접속된 2개의 챔버(323, 325)의 도면이다. 접근 포트(331)는 챔버 위치 및 로드 락 위치에서 전달 챔버(302) 내에 제공된다. 개구부(550, 552)는 도 4a에 도시된 전달 로봇(311, 313)을 장착하기 위해 제공된다. 개구부(554, 556;도시되지 않았음)는 리프트(305, 307)를 장착하기 위해 전달 챔버 내에 제공된다.
배관 트레이(350)는 전달 챔버(302)밑에 설치되며 전달 챔버(302), 로드 락(314, 316), 및 전달 챔버에 접속된 처리 챔버(321-326)를 지지하는데 필요한 각각의 처리 설비를 분배하기 위한 배관을 포함한다. 배관 트레이(350)는 트레이의 원주방향 주위에 설치된 다수의 챔버 인터페이스(500)를 포함한다. 챔버 인터페이스(500)는 각각의 챔버 위치(1-6), 로드 락 위치, 및 2개의 중앙에 위치한 전달 챔버 위치에 인접하게 위치한다. 챔버 인터페이스(500)는 챔버 트레이(380)에 필요한 설비의 접속을 제공한다. 챔버 트레이(380)는 각각의 처리 챔버, 로드 락, 또는 챔버 인터페이스(500)의 전달 챔버에 필요한 설비를 제공한다. 배관 트레이(350)는 배관 라인이 위치한 포위부를 포함한다. 배관 라인은 명확한 표현을 위해 포위부가 부분적으로 제거된 이하의 도면에서 더욱 더 자세히 도시된다.
도 5는 메인 프레임 배관 트레이상의 챔버 인터페이스(500)로부터 처리 챔버(323, 325)의 챔버 트레이(380)상의 MFC(1112;도 11a 및 도 11b에서 도시됨) 내로의 처리 가스의 접속에 관하여 도시하고 있다. 챔버 인터페이스(500)와 MFC(1112)사이의 접속 라인은 배관 트레이(350) 및 챔버 트레이(380)의 모듈 설계의 결과의 배열과 유사하다. MFC의 출구 측면상의 지점으로부터 챔버의 처리과정 체적까지의 배관 접속은 챔버의 지점에도 불구하고 동일하다. 따라서, 처리 챔버 입구에 대해 MFC의 출구의 표준화에 의해, 동일한 가스 공급 라인은 MFC의 출구와 처리과정 체적 입구의 접속에 사용된다. 다른 가스 공급 라인은 챔버의 형태에 따라 요구된다. 그러나 동일한 챔버 형태는 유사한 처리 라인으로 사용될 수 있다. 예컨대 가스 라인과 같은 유사한 부품의 사용은 동일한 라인의 대량생산을 가능하게 하고 장치에 장착하기 전의 상기 부품의 시험에 의해 생산 및 장치의 조립체를 단순화시킨다. 각각의 챔버 인터페이스(500) 및 특정한 챔버에 대한 챔버 트레이는 부품의 교체를 포함하는 장치의 용이한 재-배열 및/또는 유지를 위해 유사하다. 도시된 실시예에서, 챔버 트레이는 분리되어 메인 프레임 상에 장착된다. 다른 실시예에서, 처리 챔버 및 챔버 트레이는 메인 프레임과 전달 챔버에 장착된 단일 지지 프레임 상에 장작될 수 있다. 지지 프레임은 챔버 및 챔버 트레이를 가지는 지지 프레임이 장치에 인접한 위치 내로 굴러들어가서 접속되도록 조절 가능한 피트(feet) 또는 구름 가능한 지지 부재를 포함한다. 또한, 도 5는 챔버 트레이 위의 진공 접속과 챔버(323) 위의 진공 라인 사이에서 연장하는 진공 라인(515)을 도시하고 있다. 동일한 라인(본 도면에서 도시되지 않았음)은 챔버(325) 위에 제공된다.
도 6 내지 도 7은 설비 인터페이스(도 6 및 도 9에서 도시된) 및 배관 트레이(350)의 챔버 인터페이스(도 6, 7 및 10에서 도시된)를 도시하고 있다. 배관 트레이(350)는 전달 챔버 아래의 메인 프레임 위에 지지된다. 제작 설비로부터의 설비는 설비 인터페이스(510)에서 배관 트레이(350)에 접속되며 각각의 챔버 트레이(500)에 대한 배관 트레이 내에 분포된다. 바람직하게, 설비 인터페이스(510)는 제작 설비내의 장착을 단순화하기 위해 각각의 장치 위에 흔히 위치한다. 또한, 각각의 챔버 인터페이스(500)는, 메인 프레임과 처리 챔버의 형태와 메인 프레임의 위치에 관계 없이 장착된 처리 챔버 사이의 접속을 단순화하기 위해, 동일한 것이 바람직하다. 각각의 챔버 인터페이스 접속은 챔버 위치에 관계 없이 챔버 트레이가 생산되고 요구되는 최소한의 배관을 가지는 메인 프레임에 장착되기 위해, 동일한 것이 바람직하다. 챔버 트레이는 보통의 챔버 인터페이스를 접속하고 챔버 트레이가 조절된 특정의 챔버 위에 적절히 설비를 위치시키기 위해 조절된다.
오염물 하우징(620)은 배관 트레이(350) 주위에 설치되며 오염물 바닥을 포함한다. 오염물 바닥은 파이프의 파괴, 파괴된 피팅(fitting), 또는 느슨한 접속에 의한 누설되는 배관 트레이 내의 유체를 포함하기 위해 사용된다. 제거할 수 있는 커버 패널(604, 606)은 배관 트레이 내로의 용이한 접근을 위해 제공된다. 오염물 바닥은 오염물 바닥의 주위 근처에 형성된 주위 립(circumferential lip)을 가지는 드립 팬(drip pan)으로부터 형성된다.
도 8은 상부 커버가 제거된 메인 프레임 배관 트레이의 상부 도면이다. 설비 인터페이스(510)는 배관 트레이의 일 단부에 위치하며 배관 트레이 내에 위치한 다양한 도관은 설비 인터페이스(205;도 4a에 도시된)로부터 배치된다. 배관 트레이 내의 각각의 도관은 바람직하게는 수직으로 이격된 포위부(envelopes) 내에 설치된다. 각각의 포위부 내에서, 다양한 도관은 각각의 도관의 중단 지점 또는 접속에 접근할 수 있는 변경적인 U-형상의 배치 내에 설치된다. 각각의 도관은 도관이 서비스, 유지 및/또는 교환을 위해 해체되거나 제거되기 위해 하나 이상의 중단 지점 또는 접속을 포함한다. 배관 트레이(350)내의 각각의 도관은 로드 락, 처리 챔버, 및 전달챔버를 포함하는 각각의 챔버 위치에 대한 인터페이스를 포함한다.
도 8에서 도시된 실시예에서, 설비 장치는 중앙에 위치한 수 공급 매니폴드(370) 및 가장 낮은 수직한 포위부내의 장치 배기 매니폴드(372)를 포함한다.
물 공급 매니폴드(370)는 각각의 챔버 위치에 대한 접속과 각각의 로드 락(314, 316)에 대한 공급 접속을 가지는 것으로 도시되어 있다. 장치 배기 매니폴드(372)는 챔버, 로드 락 및 전달 챔버의 각각 하나에 대한 배기 포트를 포함한다. 로드 락(314, 316)은 커플링에 인접하게 설치된 일반적인 배기 포트를 공유한다.
각각의 챔버는 과도한 처리 가스 및 휘발성 처리 부산물을 배기하기 위해 러핑 펌프 또는 저온-펌프와 결합된 진공 포트를 가진다. 장치 진공 매니폴드(354)는 인접한 수직한 포위부내의 물 입구 공급 및 회수의 외부 내에 위치한다. 액체 헬륨 공급(364) 및 회수(366)를 가지는 액체 헬륨 매니폴드는 장치 진공 매니폴드(354)의 외부 및 인접한 수직한 포위부 내에 위치한다. 질소 배기 가스 라인(361), 예비 가스 라인(363), 질소 처리 가스 라인(365), 및 아르곤 처리 가스 라인(367)과 같은 가스 라인은 액체 헬륨 매니폴드의 외부 및 인접한 수직한 포위부 내에 위치한다. 중단 지점 또는 접속(369)은 상기 가스 라인의 각각에 제공된다. 배관 트레이내의 각각의 장치는 바람직하게는 메인 프레임의 일 단부에 위치한 중단 지점(369)을 포함한다. 장치 접속은 각각의 수준에서 접속에 대한 차단되지 않은 접근을 제공하기 위해 메인 프레임의 일 단부로부터 인접한 수직한 높이내의 타 단부까지 교차한다.
도 8에서 도시된 바와 같이 공압식 배열 피팅(358)은 메인 프레임의 후단부에 설치된다. 제어 공기는 제작 설비로부터 메인 프레임 설비 인터페이스(510)를 경유하여 배열 피팅(358)까지 공급된다. 7개의 접속 포트는 공압식 배열 피팅(358)에 의해 공급된다. 6개의 포트(예컨대, 각각의 챔버 인터페이스에 대한 어떤 포트)는 챔버의 공압식 작동을 위해 각각의 챔버 인터페이스와 결합한다. 7번째 포트는 메인 프레임의 공압식 부품 작동을 위하여 부가적인 공압식 배열 매니폴드와 결합한다. 배열 피팅(358)으로부터, 제어 공기는 가요성 호스 또는 폴리우레탄 라인과 같은 적당한 튜브를 경유하여 각각의 챔버 인터페이스(도 10)에서 공압식 접속에 분배되며 공압식 접속으로부터 챔버 공압식 제어 매니폴드까지 분배된다. 각각의 챔버 공압식 제어 매니폴드는 공압식 제어 공기를 관련된 처리 챔버의 다양한 공압식으로 작동하는 부품에 분배하기 위한 다수의 공기 제어 밸브를 포함한다. 공압식 부품의 작동 후에, 제어 공기는 챔버 트레이상의 공압식 제어 매니폴드로부터 공기 배기 챔버 인터페이스(도 10에서 도시된) 및 장치 배기 매니폴드(372)까지 배기된다. 챔버 트레이내의 공압식 배열 매니폴드의 구조와 유사한 2개의 부가적인 공압식 배열 매니폴드는 전달 챔버 및 단일 기판 로드 락상의 공압식으로 작동하는 부품에 제어 공기를 공급하기 위하여 제공된다. 예컨대, 일부 매니폴드는 각각의 슬릿 밸브(332)의 작동을 위해 제공되며, 나머지 매니폴드는 다른 전달 챔버 및 예컨대 전달 챔버 게이트 밸브, 각각의 로드 락(314, 316)상의 외부 문(333), 리프트(305, 307), 및 각각의 로드 락(314, 316)내부의 웨이퍼 리프트(460)와 같은 단일 기판 로드 락 공압식 부품을 작동시키는 제어 밸브를 포함한다.
도 8의 설비 라인은 웨이퍼 공급 매니폴드(370)가 배관 트레이(350)의 바닥 상에 있고 공압식 배열 박스(358)가 트레이의 바닥상의 물 공급 매니폴드의 정면에 있도록 배열된다. 라인은 최상부 배열에서 처리 가스 라인, 중간에서는 헬륨 공급 및 회수, 그리고 하부에서는 진공라인을 포함한다. 배관 트레이(350)내의 설비 라인의 수직 분포는 특정의 장치에서 필요로 하는 서비스 또는 유지의 주기에 의해 선택되어 진다. 예컨대, 더욱 빈번한 서비스를 필요로 하는 라인은 작업자에게 용이한 접근을 제공하기 위해 배관 트레이(350)내의 최고 높이에 위치한다.
도 9는 메인 프레임 배관 트레이(350)의 설비 인터페이스(510)의 측면도이다. 설비 인터페이스(510)는 로드 락 챔버 밑의 장치 단부 상에 설치된다. 설비 인터페이스(510)는 다양한 접속을 위해서 패널을 포함할 수 있다. 대안적으로, 설비 인터페이스는 공장 설비 접속에 대한 접근을 제공하는 장치상의 어떠한 위치에 위치할 수 있다. 설비 인터페이스는 공장 설비가 장치에 접속하는 하나의 위치를 제공한다. 설비 인터페이스상의 설비 접촉의 배치는 배관 트레이(350) 내에 설치된 도관의 층상 구조를 나타낸다. 공장에서 장치상의 분포된 위치까지 설비 접속을 위한 고정된 배열을 제공하기 위해 설비 인터페이스는 메인 프레임 상에 설치되며 배관 트레이(350)에 접속된다. 장치가 제작 설비 내로 이동될 수 있고 다중의 라인 또는 도관 필요 없이 설비 인터페이스에 접속되기 위해 제작 설비는 공통의 접속 인터페이스(common connection interface)를 가지도록 제작된다. 설비 인터페이스는 각각의 장치의 장착과 유지를 표준화하기 위하여 각각의 기구상의 같은 위치에 위치하는 것이 바람직하다. 도 9에서 도시된 설비 인터페이스는 한쌍의 공압식 제어 및 배기 접속(902, 904), 도면 번호 906에서 집합적으로 도시된 가스 공급 라인, 냉각수 공급 접속(908, 910), 헬륨 공급 및 회수(912), 분출 공기(914) 및 공압식 제어(916), 탈이온 수 공급(918), 질소 정화(nitrogen purge;920), 장치 진공(922), 및 장치 배기(924)를 포함한다.
설비 인터페이스(510)는 처리 챔버와 제어기(410) 사이의 전기적 및 전자적 인터페이스와 AC 로드센터(loadcenter;440)를 또한 포함한다. 설비 인터페이스(510)는 분배를 위한 장치상의 공통의 접속 또는 인터페이스 지점을 제공하며, 이에 따라 각각의 챔버 인터페이스에 대한 처리 장치의 모듈 특성은 개선된다. DC 파원 접속(952)은 챔버에 대하여 +15v, -15v, 및 24v DC 파워를 제공한다. 메인 프레임내의 누수 및 연기 감지 센서는 감지기 포트(954)와 결합된다. 비상 차단 또는 EMO 신호는 EMO 접속에 의하여 제공된다. 인터락 신호(interlock signal)는 인터락 접속 포트(956)에 의해 챔버 트레이 전자적 포위부(382;도 11a, 11b에 도시된) 내에 포함된 인터락 PCB에 전달된다.
도 10은 중앙에 위치하는 전달 챔버 인터페이스를 포함하는 3개의 챔버 인터페이스(500)가 도시된 메인 프레임 배관 트레이(350)의 측면도이다. 챔버 인터페이스에 의한 설비 접속은 각각의 챔버 위치와 로드 락 및 전달 챔버와 동일하다. 예컨대, 처리 라인 접속 지점, He 접속 지점, 및 진공 접속 지점은 장치의 재배열 또는 장치상의 챔버의 제거 및 교체를 가능하도록 각각의 챔버 위치에서 같은 위치 내에서 위치한다. 챔버 인터페이스는 인터페이스의 상부 단부상의 전자적 접속(926), 인터페이스의 하부의 처리 가스 접속(928), 인터페이스의 하부의 헬륨 공급(930) 및 회수(932)와 분출 공기(934) 및 공압식 제어(936), 공기 배기(938), 장치 진공(940) 및 인터페이스의 하부상의 탈이온수 접속(942)을 포함한다. 전자적 접속(926)은 EMO 접속(970), DC 파워(972) 및 인터락 PCB(974)를 포함한다. 전자적 접속(926)이 섭비 인터페이스(510)내의 전자적 및 전기적 접속과 챔버 트레이(380) 내에 위치한 적절한 전기적 및 전자적 부품사이의 중간 접속 지점을 나타내는 것이 바람직하다. 예컨대, 챔버 트레이 전자적 포위부(382) 내에 위치한 인터락 PCB는 챔버 인터페이스 인터락 접속(974)에 접속된다.
도 11a 및 11b는 챔버 트레이(380)의 도면이다. 챔버 트레이는 챔버 인터페이스에서 메인 프레임에 접속되며, 일반적으로 관련된 처리 챔버 아래에 접속된다. 챔버 트레이(380)는 필요한 설비 제어 장치가 위치한 지지 프레임(1100)을 포함한다. 조절 가능한 레그(leg)는 메인 프레임의 인접한 챔버 트레이의 적절한 위치에 제공된다. 챔버 및 챔버 트레이는 캐스터(caster) 위에 지지된 지지 프레임 또는 다른 적당한 구를 수 있는 지지 부재 위에 장착되며, 이로 인해 메인 프레임상의 위치에 대한 각각의 챔버의 모듈 특성 및 교환 능력은 더 향상된다. 챔버 트레이는 챔버 인터페이스상의 각각의 접속부가 접속되어지는 접속부를 포함한다. 챔버 트레이는 공통의 챔버 인터페이스에 접속되도록 조절되며 특정의 처리 챔버와 호환성 있게 설비를 배열한다. 여기서 기술된 실시예에서, 챔버 트레이는 용이한 생산, 장착 및 유지를 제공하도록 배열된다.
챔버 트레이(380)는 내부에 설치되는 챔버 제어 카드(도시되지 않음), 장치 네트 허브(1102), 챔버 진공 포트(1104), 챔버 공압식 배열 매니폴드(1106), 각각의 공압식 제어 밸브(1108), 질량 유동 제어기(MFC;1112)를 포함하는 가스 패널(1110), 그리고 차단 밸브(1114)를 가지는 전자 박스(382)를 포함한다. 전자 박스(382)는 챔버 트레이 및 메인 프레임 사이의 접속에 대항하는 챔버 트레이의 전면에 위치한다. MFC 접속은 전자 장치의 내측에 위치한다. 그러나, 2개의 MFC는 처리 챔버 형태 또는 처리 챔버 내에서 수행되는 처리 작업에 의존하여 도시되며, 부가적인 라인은 또한 배관될 수 있으며 부가적인 MFC도 포함될 수 있다. 대안적으로, MFC, 공압식 및 전자 장치는 챔버 트레이에 인접하게 위치한 챔버의 접속에 대한 임의의 적당한 배열 내에 배열될 수 있다. 바람직하게는, 전자 장치는 메인 프레임상의 어떠한 잠재적인 유체 누수로부터 전자 장치를 보호하기 위해 메인 프레임의 외측에 설치된다. 챔버 트레이 내에 모든 설비 및 제어기를 포함시키기 위해, 챔버와 챔버 트레이 사이의 접속은 메인 프레임 배관 트레이와 챔버에 대한 설비 접속 사이의 접속 지점이 표준화되는 것과 마찬가지로 표준화 된다. 이와 같은 표준화에 의해, 메인 프레임상의 각각의 지점에서 접속 지점은 유사하며 부품은 상호 교환 가능하기 때문에, 상호 교환 가능한 챔버 위치를 가지는 모듈 장치는 필요한 접속에 대해 제공되어지며 재배열된다.
도 12 내지 도 15는 처리 가스 라인, 헬륨 공급 및 회수, 장치 배기 매니폴드 및 물 매니폴드의 각각의 배열된 특성을 도시하고 있다. 도 12는 배관 트레이의 상부에 위치한 가스 라인의 상부 사시도이다. 가스 라인은 처리 챔버 인터페이스, 로드 락 인터페이스 및 전달 인터페이스를 포함하는 각각의 챔버 인터페이스에 설치된 접속을 가지는 U-형상의 배열로 분포되어 있다. 가스 라인은 길이 방향을 따라 일반적인 위치에서 중단 지점 또는 차단 지점을 포함한다. 중단 지점은 제거, 교환 또는 유지에 대한 용이한 접근을 제공하기 위해 설치된다.
도 13은 장치의 저온-펌프를 냉각하기 위한 헬륨을 분배하는 공급 및 회수 라인(364, 366)을 가지는 액체 헬륨 매니폴드의 도면이다. 다른 많은 매니폴드와 마찬가지로, 헬륨 매니폴드는 유지 및 서비스를 위한 용이한 제거를 위해 중단 지점(1300, 1301)을 가지는 U-형상의 배열이다. 중단 지점은 인접한 라인의 중단 지점 사이의 접근 간섭을 방지하기 위해 편리하게 배열된다.
도 14는 진공 매니폴드(354)의 도면이다. 진공 매니폴드는 설비 인터페이스(510)에 인접한 중단 지점(1400;도시되지 않았음)을 가지는 U-형상의 배열로 설치되어 있다. 진공은 챔버의 위치에 관계 없이 각각의 챔버에 대한 일반적인 접속(1404)을 포함하는 도관(1402)에 의해 각각의 챔버에 분배된다.
도 15는 메인 프레임 배관 트레이의 중심의 하부에 설치된 물 매니폴드(370) 및 장치 배기 매니폴드(372)를 도시한다. 바람직하게도, 물 매니폴드(370)는 누수의 영향을 최소화시키기 위해 배관 트레이내의 최하부에 설치된다. 하나 이상의 센서는 작동하는 경우 수분 및 휘발성 가스를 감지하게 위하여 설비 트레이 내에 위치할 수 있다. 가요성 호스 및 도관은 물 매니폴드 상에 도시된 각각의 접속 지점과 배기 매니폴드 사이에서 각각의 챔버 인터페이스상의 접속지점 및 각각의 챔버에 접속된다. 물 감지 회로 또는 누수 감지 회로는 물 매니폴드가 가지고 있는 어떠한 문제의 조기 경고를 제공하기 위해 메인 프레임의 하부를 따라 배열된다.
도 16은 처리 라인(406), 액체 헬륨 공급(364) 및 회수(366), 장치 배기 매니폴드(372), 및 물 매니폴드(370)의 배치를 도시하는 배관 트레이(350)의 도면이다. 각각의 장치와 장치간의 접근의 관계는 도시되지 않았다. 또한 각각의 챔버 트레이에 대한 접속의 일정한 형태를 도시한다.
도 17은 메인 프레임 배관 트레이 내에 제공된 다양한 배관 라인의 V-형상을 도시하는 메인 프레임 배관 트레이(350)의 단면도이다. V-형상은 용이한 접근과 각각의 라인의 용이한 제거를 제공한다. V-형상은 기술자가 작업할 수 있는 메인 프레임내의 공동의 중앙 영역(empty center region)을 제공한다. 상기에서 자세히 언급된 바에 의하면, 가스 매니폴드(1701)의 처리 가스는 높은 포위부 내에서 나타난다. 최고 높이에서의 배관 라인은 중심 및 최저 포위부내의 중앙 위치에 대한 각각의 포위부내의 영역으로부터 반경 방향으로 외측으로 위치한다.
장치 배기가 상부에 위치하고 헬륨 공급 및 회수가 하부에 위치하는 등의 다른 배치 또는 배열은 가능하다. 그러나, 빈번하게 서비스를 받는 라인, 예컨대 처리 라인은 접근이 용이한 상부에 위치하기 때문에, 도면을 참고하여 기술된 구조는 편리하다고 믿어진다. 대부분의 문제를 야기하고 누수 및 손상될 가능성이 있는 물 매니폴드는 하부에 위치한다. 이와 같은 경우에서, 물 매니폴드의 누수는 메인 프레임 배관 트레이의 최하부에 위치한 드립 팬(drip pan)에 의해 담겨진다. 따라서, 누수에 의해 누출된 유체는 인접한 라인 또는 부품에 덜 손상을 미친다. 진공 및 헬륨은 교환 가능하나, 진공 매니폴드는 시험 및 누수 체크가 어려우므로 배관 트레이의 상부에 위치한다. 이와 같은 배관 구조에 의해 제공되는 유선화된 구조 또는 단순성은 누수 감지, 유지, 및 각각의 접속의 수리를 더욱 쉽게 만든다. 예컨대, 진공 문제가 장치의 작동 동안에 발생하는 경우, 기술자는 쉽게 각각의 진공 라인에 접근이 가능하며 이로 인해 특정의 챔버 위치 또는 피팅에 대해 쉽게 문제부위를 고립시킬 수 있다. 본 발명의 유선화된 파이핑 배치는 진공 라인 접속, 처리 라인 접속, 및 액체 헬륨 접속에 대한 증가된 접근성을 제공한다. 반대로, 일반적인 처리 장치내의 설비 접속 지점에 대한 접근은 곤란하며 전형적으로 이와 같은 접속 지점에 대해 접근을 하기 위해서는 인접하는 배관 라인 또는 구조의 상당한 양의 해체를 필요로 한다.
도 18은 인접하는 배관 트레이(350)에 위치하는 각각의 챔버 트레이(380)의 상부 도면이다. 메인 프레임 배관 트레이(350)상의 진공 접속으로부터 챔버 트레이(380)상의 진공 드롭(vacuum drop)까지의 진공 라인(1801) 접속이 도시되어 있다. 각각의 챔버 인터페이스에 접속한 각각의 진공 접속 라인은 동일하며 각각의 챔버가 상대적으로 같은 위치에서 진공 포트에 접속되도록 진공 접속 포트(1800)는 각각의 챔버 트레이내의 같은 위치에 위치한다. 챔버(6)는 챔버 트레이 내의 2개의 MFC 모듈에 접속되어 있는 처리 가스에 대한 라인 접속을 도시하고 있다. 또한 공압식 매니폴드 내에 다양한 공기 제어 밸브도 도시되어 있다. 챔버 트레이 전자 박스(382) 내에 포함된 챔버 전자 장비는 챔버 트레이의 전자부에 위치한 덮개에 의해 덮여진다.
또한 메인 프레임 공압식 분배 블럭(358)은 도 18에 도시되어 있다. 이와 같은 중앙 공압식 분배 지점으로부터, 공압식 공급은 각각의 챔버 인터페이스 및 가요성 폴리우레탄 라인(도시되지 않았음)을 가지는 각각의 챔버 트레이 공압식 분배 블럭에 분배된다. 제어 공기는 챔버 트레이(380)의 외부로 중앙 메인 프레임 분배 지점으로부터 공급된다. 공압식으로 제어된 부품에 대한 각각의 공압식 제어 밸브는 각각의 챔버 트레이내의 공압식 제어 블럭에 위치한다. 각각의 챔버의 개개의 공압식 장치가 각각의 챔버 공압 블럭에서 쉽게 확인될 수 있으므로, 공압식 제어의 분배된 공급은 각각의 챔버상의 공압식 장치의 고장 수리와 유지를 쉽게한다. 공압식으로 작동하는 챔버 설비 및 장치는 히터 리프트(heater lift), 물 리프트(water lift), 티타늄 질화 과정 동안에 웨이퍼를 덮기 위해 사용되는 웨이퍼 셔터, 및 MFC 차단 밸브를 포함한다. 또한, 공압식으로 작동된 게이트 밸브는 일반적으로 진동 펌프 작동 동안에 챔버 처리과정 체적으로부터 저온-펌프를 고립시키기 위해 제공되며, 공압식으로 작동된 진공 절연 밸브는 러핑-펌프의 작동이 필요로 하지 않는 경우 챔버 처리과정 체적 및 저온-펌프로부터 러핑 펌프를 고립시키기 위해 제공된다.
본 발명의 모듈식 처리 장치의 다른 장점은 장치의 생산에 있어 전체적인 단순성이다. 일반적인 처리 장치의 조립과정은 일련의 부품 세트의 조립, 부품을 시험하면서 이때 다음 부품 세트의 조립 및 테스트, 그리고 모든 부품이 조립되고 시험될 때 까지 지속된다. 개개의 부품의 통합은 각각의 부품의 세트가 조립되고 시험될 때까지 발생하지 않으며, 이로 인해 생산 시간은 증가한다. 반면, 본 발명에 의한 장치의 모듈 설계는 동시에 조립되고 테스트될 수 있도록 장치의 부분을 분리하는 것이 가능하다. 예컨대, 메인 프레임, 전달 챔버, 처리 챔버, 메인 프레임 배관 트레이, 및 챔버 트레이는 개별적으로 각각 조립되고 테스트될 수 있다. 시험 후에, 개개의 모듈의 통합은 단순하다. 메인 프레임 배관 트레이는 메인 프레임 내로 삽입되며, 전달 챔버는 메인 프레임에 부착되고 개개의 처리 챔버는 단일체에 접속한다. 마지막으로, 접속은 각각의 챔버 인터페이스와 각각의 챔버 트레이 사이에서와 각각의 챔버 트레이와 각각의 챔버 사이에서 형성된다.
도 19는 본 발명의 모듈식 DC 파워 서플라이의 도면이다. 본 발명에 의한 처리 장치내의 DC 파워는 각각의 챔버로 분배된다. 따라서, 각각의 챔버 보드(chamber board)의 DC 파워 서플라이(1901)는 다양한 24 볼트, 개개의 챔버(321-326;도 4a에는 도시되지 않았음)의 양 및 음의 15 볼트 DC 로드를 수용하기 위한 크기로 되어있다. 따라서, 장치가 생산되는 때에, 메인 프레임 파워 로드에 대한 충분한 DC 파워를 가지는 것이 필요하며, 도면에 도시된 바와 같이 리모트 파워 로드(remote power load)를 필요로 한다. 특정한 챔버가 장치 상에 부가되는 경우 각각의 개개의 챔버 로드에 대한 DC 파워는 개별적으로 공급된다. 이와 같은 방법에서, DC 파워는 유지되며 장치의 비용은 감소한다. 특정의 챔버가 모듈식 DC 파워 서플라이에 대한 카드 케이지(card cage)내의 다른 위치로 이동하지만 처리 장치의 모듈성은 챔버가 DC 파워 서플라이와 함께 다른 위치로 그리고 다른 위치로부터 부가되는 것과 이동하는 것을 허용한다. 모듈식 DC 파워 서플라이는 외견상으로 도 3의 리모트 파워 서플라이 장치(400) 내에 도시된 스템 제어기 선반(rack) 상에 위치한다.
도 20은 처리 장치의 모듈식 AC 로드 센터(2005)를 도시하고 있다. 모듈식 AC 로드 센터(2005)는 브레이커 분배 도관(breakers distribution conduits)과 모든 메인 프레임 및 메인 분배기 패널(main distributor panel;2010) 내에 위치한 리모트 장치 전기적 로드에 대한 다른 지지 회로를 포함한다. 이와 같은 일반적인 AC 로드는 바람직하게는 메인 분배 패널(2010)과 같은 어떤 패널 내에 위치한다. 메인 분배 패널(2010)은 저온 압축기, 열교환기, 덮개 리프트, 장치 진공, 및 장비 선반 팬(equipment rack fans)과 같은 비 챔버(non chamber)에 특정한 전기적 로드를 포함한다. 본 발명의 처리 장치의 모듈 설계는 각각의 개개 챔버(321-326)가 전용 회로 차단기, 분배 도관, 및 모든 챔버의 특정의 전기적 로드에 대한 다른 지지 회로를 가지는 AC 로드 센터(2005) 설계로 지속된다. 개개의 챔버의 전기적 분배 박스(2012)는 각각의 6개의 챔버 위치(321-326)에 제공되어 있다. 모든 회로 브레이커, 분배 도관, 및 챔버에 대한 다른 지지 회로가 단일의 분배 박스(2012) 내에 포함되어 있기 때문에, 전기적 파워는 AC 로드 센터(2005)상의 상응하는 챔버 브레이커 박스 위치로부터 분배 박스(2012)의 간단한 부가 또는 제거에 의해 특정한 챔버 위치내의 특정의 챔버로 부가되거나 또는 제거된다. 개개의 챔버의 전기적 로드의 예는 서보 모터 드라이버, 히터 드라이버, DC RF 파워 서플라이, 터보 펌프 제어기, 진공 펌프(예컨대 예비-청정 챔버(preclean chamber)) 및 저온-펌프 생성 히터를 포함한다.
이와 같은 AC 로드 센터(2005)의 모듈식 설계 접근은 적어도 2개의 장점을 포함한다. 첫 번째 장점은 필요로 하는 브레이커 박스(2012)만이 설치된다는 것이다. 모듈식 챔버 브레이커 박스(2012)는 단지 3개의 챔버를 가지는 처리 장치이 3개의 챔버에 대한 각각 하나의 3개의 챔버 브레이커 박스(2012)를 가지도록 장착되는 것을 허용한다. 부가적인 챔버를 부가하고 또는 현재의 챔버를 이전의 빈 공간의 위치로 이동시키는 장래의 사용을 위해 다른 3개의 빈 공간 또는 이용 가능한 챔버의 위치는 공백상태로 될 수 있다. 이와 같은 방법에서, 장치 상에 6개의 챔버 또는 3개의 챔버가 장착되었는지에 관계 없이 모든 6개의 챔버에 대한 챔버 브레이커 박스(2012)의 제공 대신에 전기적 분배는 반드시 제공된다.
AC 로드 센터의 모듈식 설계의 다른 장점은 전기적 안정성에 있다. 일반적인 장치에서, 특정한 챔버 트레이에 대한 전기적 파워는 수개의 다른 위치 내에 위치하며 청정룸과 전기적 파워가 차단된 청정룸이 아닌 공간에 대한 접근을 필요로 한다. 어떤 전기적 로드가 완전히 차단되지 않은 경우, 챔버 또는 다른 부품을 수리하는 기술자는 부상을 입을 수 있다. 챔버 분배 박스(2012)를 포함하는 모듈식 설계는 어떤 지점에서 완전한 챔버의 전기적 절연을 제공함으로서 여러 위치 내에서의 파워의 차단의 필요성을 제거할 수 있다. 이와 같은 모듈 AC 파워 분배는 모듈 레벨 및 장치 레벨 서비스와 유지에 대한 단위 챔버 베이시스 또는 단위 리모트 부품 베이시스상의 지역화된 록 아우트 테그 아우트(lock out tag out;LOTO)를 허용한다.
도 21은 일반적인 장치의 네트 커뮤니케이션 배열을 도시하는 장치 제어기(410), 대표적인 처리 챔버(2106), 및 챔버 트레이(380)의 도면이다. 챔버 제어 PCB가 중앙에 위치하는 일반적인 장치와 달리, 본 발명의 장치의 챔버 제어 PCB(2114, 2115, 2120)는 각각의 챔버 트레이(380)의 전자적 포위부(382) 내에 제공된다. 장치 제어기(410)는 단일 보드 컴퓨터(2102), 대용량 저장 장치(2103) 및 받침면(2105)에 의해 서로 접속되고 연통하는 장치 네트 스캐너(device net scanners;2104)를 포함한다. 단일 보드 컴퓨터(2102)는 반도체 처리 장치(200)의 작동에 대한 처리 파워를 제공하며 프로세서, 램(ram) 및 다른 잘 알려진 전자적 회로를 포함한다. 대용량 저장 장치(2103)는 단일 보드 컴퓨터(2102)의 데이터 저장 능력을 제공하며 하드 드라이브 및 플로피 드라이브, 또는 다른 저장 능력을 포함한다. 장치 네트 스캐너 카드(2104)는 다양한 장치 네트 부품 및 처리 장치(200) 전체에 위치한 허브(hubs)로부터 정보를 수용하거나 정보를 제공한다. 장치 네트 스캐너 카드(2104)는 챔버 및 다른 장치 부품(한편 장치 네트 노드로 알려진)으로부터의 다양한 장치 네트 신호를 수용하며 장치 제어기(410)에 신호를 제공한다. 다음으로 록업 테이블(lockup tables), 저장된 정보, 또는 다른 적당한 해석 방법을 사용하여, 장치 제어기(410)는 입력 데이터를 장치 제어기(410)에 의해 수행되는 소프트웨어 및 프로그램에 이용할 수 있는 적당한 통신 신호로 번역하거나 해석한다. 도 21은 스캐너 카드(2104)가 케이블(2117)에 의해 챔버 트레이(380) 내에 위치한 장치 네트 허브(2111)상의 트렁크 접속부부(trunk connection;2113)에 접속하는 하나의 접속부에 관하여 도시하고 있다.
장치 제어기(410)와 처리 장치(200)의 부품간의 통신은 이제 대표적인 처리 챔버(2106)에 관하여 기술된다. 처리 상태 및 처리 챔버(2106)의 작동 상태는 장치가 제공하는 정보 포맷에 의존하는 3개의 장치 형태중의 하나로 고려될 수 있는 다양한 장치에 의해 모니터링 되고 제어된다.
장치의 첫 번째 형태는 디바이스 네트 레디 장치(device net ready device)이다. 부품(2109)은 챔버(2106)와 연통하는 디바이스 네트 장치를 나타낸다. 디바이스 네트 레디 장치(2109)는 정보를 장치 네트 프로토콜 내의 장치 제어기(410)로 제공하거나 제어기로부터의 정보를 수용한다. 이와 같은 디바이스 네트 레디 장치(2109)로 제공되거나 이로부터 수용된 정보는 더 이상의 번역 없이 장치 제어기(410)에 직접적으로 전송된다. 도 21을 참조하면, 디바이스 네트 레디 요소(2109)는 적당한 전기적 케이블(2117)에 의해 네트 허브 장치(2111)상의 노드(2112)에 접속된다. 네트 허브 장치(2111) 내에서, 노드(2112)는 트렁크 접속부부(2113)에 결합되며 트렁크 접속부부(2113)는 네트 스케너 카드(2104)에 접속된 적당한 케이블(2117)에 의해 장치 제어기(410)와 결합한다. 처리 챔버(2106)가 대표적인 물리 기상 증착 챔버인 실시예에서, 디바이스 네트 레디 장치의 예는 이온/컨벡트론 압력 게이지 제어기(ion/convectron pressure gauge controller), 히터 받침대 리프트 모터 드라이버, 및 공압식 분배 솔레노이드 블럭을 포함한다.
장치의 두 번째 형태는 아날로그 장치이다. 부품(2107)은 챔버(2106)내의 상태에 응답하여 아날로그 신호를 생성하는 장치를 나타낸다. 아날로그 장치(2107)에 의하여 생성되는 아날로그 신호는 챔버 트레이(380)내의 전자적 포위부(382) 내에 위치한 아날로그 입력/출력 보드(2115)에 제공된다. 이때 아날로그 장치(2107)에 의하여 생성된 아날로그 신호는 네트 신호 프로토콜 장치 내로 변환된다. 아날로그 입력/출력 보드(2115)의 출력(예컨대, 네트 프로토콜 장치내의 신호)은 적당한 전자적 케이블(2117)에 의해 네트 챔버 허브 장치(2111)상의 네트 노드 장치(2112)와 결합한다. 네트 허브 장치(2111) 내에서, 노드(2112)는 트렁크 접속부부(2113)와 결합하며 트렁크 접속부부(2113)는 네트 스캐너 장치(2104)에 접속된 케이블(2117)에 의해 장치 제어기(410)와 결합한다. 장치 제어기(410)에서 아날로그 부품(2107)까지의 신호는 아날로그 입력/출력 보드(2115)가 장치 제어기로부터의 네트 장치 신호를 부품(2107)과 함께 사용하는 아날로그 신호로 변환하도록 작동한다는 점을 제외하고는 같은 전자적 경로를 따른다. 처리 챔버(2106)가 대표적인 물리 기상 증착 챔버인 실시예에서, 아날로그 장치 예컨대 배러트론(baratron), 질량 유동 제어기, 및 RF 매치 세트-포인트 제어기(match set-point controller)를 포함한다.
장치의 세 번째 형태는 디지털 장치이다. 부품(2108)은 디지털 신호를 생성하는 장치를 나타낸다. 디지털 장치(2108)에 의해 생성된 디지털 신호는 챔버 트레이(380)내의 챔버 전자적 포위부 내에 위치한 디지털 입력/출력 보드(2114)에 제공된다. 이때 디지털 장치(2107)에 의해 생성된 디지털 신호는 네트 장치 신호로 변환된다. 디지털 입력/출력 보드(2115)의 출력(예컨대, 네트 프로토콜내의 신호)은 네트 챔버 허브 장치(2111)상의 네트 노드 장치(2112)와 결합한다. 네트 허브(2111)장치 내에서, 노드(2112)는 트렁크 접속부(2113)와 결합하며 트렁크 접속부(2113)는 네트 스캐너 카드 장치(2104)에 접속된 케이블(2117)에 의해 장치 제어기(410)와 결합한다. 장치 제어기(410)에서 디지털 부품(2108)까지의 신호는 디지털 입력/출력 보드(2114)가 장치 제어기로부터의 네트 장치 신호를 부품(2107)과 함께 사용하는 디지털 신호로 변환하도록 작동한다는 점을 제외하고는 같은 전자적 경로를 따른다. 처리 챔버(2106)가 대표적인 물리 기상 증착 챔버인 실시예에서, 디지털 부품은 예컨대, 슬릿 밸브 개방/밀폐 지시기, 히터 리프트 위치 센서, 물 유동 지시기, 게이트 밸브 개방 센서 및 챔버 덮개 스위치를 포함한다.
상기 언급된 바와 같이, 각각의 챔버의 전자적 포위부(382)는 디지털 입력/출력 보드(2114) 및 아날로그 입력/출력 보드(2115)를 포함할 수 있다. 또한, 각각의 챔버의 전자적 포위부(382)는 챔버 인터락 보드(2120)를 포함할 수 있다. 챔버 인터락 보드(2120)는 작업자의 부상 또는 심각한 장비 손실을 방지하기 위해 장치상의 어떤 기능을 정지시키는 하드웨어 인터락을 제공하도록 사용된다. 예컨대, 인터락 회로는 챔버 덮개가 개방된 경우에 높은 전압의 DC 파워의 작동을 방지하기 위해 사용된다.
도 22는 본 발명의 감소된 수직 높이의 냉각 장치(2200)의 도면이다. 전자적 패널 내에 배열된 다수의 프린트된 회로 보드(2204)를 가지는 일반적인 카드 케이지(2202)가 도시되어 있다. 공기와 같은 냉각 유체는 카드 케이지의 바닥에 위치한 입구(2206)를 통과하여 프린트된 회로 보드 사이에 및 그 가운데 제공된다. 냉각팬(2208)은 카드 케이지의 상부내의 인접한 PCB(2204)에 위치한다. 냉각팬은 프린트된 회로 보드의 표면에 인접한 입구를 가진다. 팬은 입구에 대해 직각으로 고 공기를 방출하는 출구(2210)를 포함한다. 결과적으로, 냉각팬 출구(2210)는 충분한 냉각을 제공하기 위하여 부가적인 수직의 공간을 필요로 하지 않으므로 다수의 카드 케이지는 쌓여진다. 출구(2210)로부터의 방출은 카드 케이지(2202)내의 벤트(vent;2214)를 통과하여 유동한다. 다수의 전자적 하우징은 수직면 내에 서로 인접하게 쌓일 수 있으며, 하우징 사이의 수직 공간은 하우징의 상부 사이에서 냉각 공기가 회전하지 않으므로 감소될 수 있으며, 대신에 냉각 공기는 측면을 통과하여 방출된다.
도 23은 본 발명의 모듈식 장비 선반(rack)(2300)의 도면이다. 모듈식 장비 선반(2300)은 필요한 경우 RF 생성기, 타깃 바이어스 파워 서플라이(target bias power supplies), 및 히터 드라이버와 같은 리모트 챔버 부품에 대하여 전기적 파워를 공급하기 위하여 배열되어 있다. 초기의 제작에서, 모듈식 장비 선반(2300)은 8개 까지의, 4U 이상의 크기의 전기적 부품(4U 크기의 부품은 약 7인치(7") 높이이다)에 대한 트레이 또는 슬롯 위치를 지지한다. 이와 같은 8개의 슬롯 위치는 2301-2308으로 지시된다. 각각의 개별적인 슬롯 위치(2301-2308)는 전기적 접촉, 회로 브레이커, 및 전기적 부품을 지지하기 위한 전기적 도관을 제공한다. 이와 같은 모듈식 설계는 강한 전기적 접속 및 부가적인 부품이 쉽게 현재의 전기적 배분 프레임 워크에 부가될 수 있는 분배 설계의 제공에 의한 장치의 확장을 허용한다.
대표적인 장치(200)에서, 2개의 모듈식 장비 선반(2300)은 제공될 수 있다. 하나의 선반(200)은 예컨대 PVD 챔버내의 작동에 사용되는 플라즈마 DC 파워 서플라이, 예비-청정 또는 같은 CVD(화학 기상 증착법) 챔버 내의 플라즈마 작동에 대한 RF 파워의 공급, 및 예컨대 텅스텐 CVD, CVD 티타늄, 및 CVD 알루미늄과 같은 터보 펌프가 사용되는 챔버에 대한 터보 펌프 제어기를 지지할 수 있다. 다른 모듈식 장비 선반(2300)은 예컨대, 열전달 챔버(302)에 사용된 저항식 히터에 대한 히터 드라이버와 단일의 기판 로드락내의 모듈에 대한 파워를 제어하기 위해 사용되는 램프 드라이버(lamp driver)에 전기적 접속 및 배분을 제공하기 위해 사용될 수 있다. 모듈식 AC 로드 센터(2005;도 20에 도시됨)에 관하여 상기 기술된 생산 및 안정성의 장점은 모듈식 장비 선반(23)에서 또한 찾을 수 있다.
도 24 및 도 25는 전달 챔버(299) 및 2개의 단일 웨이퍼 로드 락(314, 316)을 형성하는 단일체(302)의 변경적인 실시예의 도면이다. 단일체(302)는 알루미늄의 단일 블럭으로부터 형성된다. 통합된 단일체의 하나의 장점은 두개의 단일 기판 로드 락 사이의 밀봉면이 제거되어서 도 2에서 도시된 바와 같이 전달 챔버(302) 상에 개개의 단일 기판 로드 락을 장착하기 위한 부가적인 진공 밀봉 필요가 감소한다는데 있다. 또한 하나의 단일체를 가지는 전달 챔버 및/또는 로드 락은 밀봉적으로 장착된 표면을 가지는 지지 프레임으로부터 형성될 수 있다.
처리 시퀀스 및 방법
처리 장치(200)는 다양한 처리 시퀀스를 수행하도록 이용될 수 있다. 도 26 및 도 27은 본 발명의 2개의 병렬의 처리 시퀀스를 도시하는 도면이다. 이와 같은 병렬의 처리 시퀀스에서, 로봇(311, 313)은 적어도 2개의 처리 단계에서, 한쌍의 기판이 동시에 또는 거의 동시에 처리될 정도로 한쌍의 기판을 다양한 처리 위치를 통과하여 전달하기 위해 세로로 나란히 서서 작동한다. 이와 같은 처리 시퀀스에서, 위치 3 및 4에서의 챔버는 같은 형태의 챔버이며, 위치 1 및 2에 위치한 챔버는 같은 형태의 챔버이고, 그리고 위치 5 및 6에 위치한 챔버는 같은 형태의 챔버이다. 예컨대, 위치 3 및 4에 위치한 챔버(323, 324)는 예비-청정 챔버일 수 있다. 예비-청정 챔버에서, 넌-셀렉티브 플라즈마 에치 처리(non-selective plasma etch process)는 처리된 웨이퍼의 표면 및 웨이퍼에 형성된 피쳐(feature)로부터 원래의 산소를 제거하기 위하여 수행된다. 다양한 예비-청정 처리가 이용될 수 있다. 예비-청정 처리는 예컨대 아르곤, 또는 헬륨과 수소의 혼합물부터 형성되는 플라즈마 또는 수소 감소 처리의 결과로 발생하는 아르곤 플라즈마를 채용한다. 위치 1 및 2에 위치한 챔버(321, 322)는 시드 또는 배리어 층(seed or barrier layer)을 증착하기에 적당한 챔버일 수 있다. 예컨대, 챔버(321, 322)는 연속하는 금속층의 증착의 접착력과 컨포머티(conformity)를 개선하기 위하여 탄탈 및/또는 질화 탄탈과 같은 적당한 시드 층 또는 배리어 층을 증착하기 위한 DC 마그네트론 스퍼터 증착 방법과 함께 유도 코일이 이용되는 물리가상 증착(PVD) 챔버일 수 있다. 이와 같은 챔버의 형태의 예는 캘리포니아 산타클라라의 어플라이드 머터리알스 주식회사에서 이용 가능한 이온화 금속 플라즈마 PVD 챔버이다. 위치 5 및 6에 위치한 챔버(325, 326)는 금속 층을 증착 하는데 적합한 챔버이다. 예컨대, 챔버(321, 322)는 요구되는 금속 층을 증착하기 위하여 DC 마그네트론 스퍼터 증착 방법을 이용하는 물리 기상 증착 챔버이다. 금속화 동안 증착 되는 대표적인 금속은 예컨대, 알루미늄, 텅스텐, 및 구리이다. 2개의 적당한 증착 시퀀스는 예컨대, (1)구리 층의 증착후의 탄탈 또는 질화 탄탈 배리어 층 또는 시드 층의 증착후의 다음의 예비-청정 처리 또는 (2)알루미늄 층의 증착후의 탄탈 또는 질화 탄탈 배리어 층 또는 시드 층의 증착후의 다음의 예비-청정 처리를 포함한다. 다른 배열 및 처리 시퀀스 또한 수행될 수 있다.
대표적인 병렬의 처리 시퀀스는 도 26을 참고하여 이제 기술된다. 대기 로봇(206)은 처리된 웨이퍼를 가지는 저장 카세트(220)에 인접한 위치로 미끄러질 수 있는 위치(208)를 따라 이동한다. 이동 가능한 블레이드(212, 214)를 이용하여, 웨이퍼(1, 2)는 적절한 카세트(220)로부터 제거되어 각각 블레이드(212, 214) 위에 놓여진다. 이때 대기 로봇(206)은 대기 배향기 스테이션(216)에 인접한 위치로 미끄러질 수 있는 위치(208)을 따라 이동한다. 이때 웨이퍼(1)는 블레이드(212)에서 회수되며 웨이퍼(2)는 웨이퍼 배향기(216) 위에 놓여진다. 웨이퍼(2)가 배향되는 동안에, 대기 로봇(206)은 단일 기판 로드 락(16)에 인접한 위치로 미끄러질 수 있는 위치(208)을 따라 이동한다. 웨이퍼(1)는 단일 기판 로드 락(316) 내로 적재된다. 단일 기판 로드 락(314)이 대기로부터 전달 챔버로 전달되는 때 웨이퍼(1)는 예열되며 탈가스된다. 대기 로봇(206)은 이때 대기 배향기(216)로부터 단일 기판 로드 락(314)까지 웨이퍼(2)를 전달하기 위하여 미끄러질 수 있는 위치(208)를 따라 이동한다. 웨이퍼(2)에 단일 기판 로드 락(314) 내로 적재된 후에, 대기 로봇(206)은 카세트(220)를 회수하고 웨이퍼(3, 4)를 각각의 블레이드(212, 214)에 위치시킨다. 이때 웨이퍼(3, 4)는 배향되며 단일 기판 로드 락(316, 314) 내로 각각 전달되며, 그리고 웨이퍼(1,2)에 관하여 상기 언급된 바와 같이 예열되며 탈가스된다.
웨이퍼(1)는 단일 기판 로드 락(316)으로부터 로봇(311)의 주 블레이드(301)위로 전달되며 리프트(307)위로 놓여진다. 리프트(307)는 웨이퍼(1)가 로봇(313)에 대해 적당한 배향을 가지며 접근할 수 있도록 회전한다. 이때 웨이퍼(1)는 로봇(313)의 블레이드(309, 315)의 어느 한쪽에 의해 리프트(307)로부터 제거되며, 위치 4내의 예비-청정 챔버(324) 내로 전달된다. 이때 웨이퍼(1)는 적당한 예비-청정 방법에 의해 챔버(324) 내에서 처리 된다. 웨이퍼(1)가 리프트(307) 위에 위치한 후에 로봇(311)은 웨이퍼(2)를 단일 기판 로드 락(314)으로부터 제거하며 웨이퍼(2)를 리프트(305) 위에 위치시킨다. 이때 리프트(305)는 웨이퍼(2)를 로봇에 대해 일정한 방위로 위치시키기 위해 회전한다. 로봇(313)은 이때 블레이드(309, 315)의 어느 한쪽을 이용하여 웨이퍼(2)를 리프트(305)로부터 위치 3의 챔버(323) 내로 전달한다. 이때 웨이퍼(2)는 적당한 예비-청정 방법에 의해 챔버(323) 내에서 처리 된다.
그 다음, 로봇(311)은 웨이퍼(3)를 로드 락(316)으로부터 리프트(307)로, 웨이퍼(4)를 로드 락(314)으로부터 리프트(305)로 전달한다. 이때 웨이퍼(3)는 리프트(307) 위에서 회전하며 로봇(313)의 보조 블레이드(315) 위에 위치한다. 웨이퍼(1)가 챔버(324) 내에서 예비-청정가 처리가 완료되는 경우, 로봇(313)은 웨이퍼(1)를 주 블레이드(309)에 의해 제거하며, 웨이퍼(3)를 챔버(324) 내로 회전하여 위치시키며, 그리고 이때 웨이퍼(1)를 리프트(305) 위에 위치시킨다. 웨이퍼(3)의 예비-청정 처리가 시작된다. 이때 로봇(313)은 보조 블레이드(315)에 의해 리프트(305)로부터 웨이퍼(4)를 제거하며, 웨이퍼(2)가 챔버(323) 내에서 예비-청정 처리가 완료되는 경우, 로봇(313)은 주 블레이드(309)에 의해 웨이퍼(2)를 제거하며, 웨이퍼(4)를 챔버(323) 내에 위치시키며, 그리고 웨이퍼(2)를 챔버(322) 내에 위치시킨다. 거의 같은 시간에서 로봇(311)은 리프트(305)로부터 웨이퍼(1)를 제거하며 웨이퍼(2)가 챔버(322) 내에 위치하는 시간과 거의 같은 시간에서 웨이퍼(1)를 챔버(321) 내로 위치시킨다. 웨이퍼(1, 2)는 적절한 시드 층 방법에 의해 챔버(321, 322) 내에서 처리 된다.
상기 전달이 수행되는 동안에, 웨이퍼(5, 6)는 배향되며, 각각 단일 기판 로드 락(316, 314) 내로 전달된다. 웨이퍼(5, 6)는 예열되며, 탈가스되고, 그리고 로봇(311)에 의해 각각 리프트(307, 305)로 전달된다. 웨이퍼(1, 2)가 챔버(321, 322) 내에서 처리가 완료되는 때, 웨이퍼(1)는 로봇(311)에 의해 챔버(321)로부터 챔버(322)로 전달된다. 거의 같은 시간에서, 웨이퍼(2)는 로봇(313)에 의해 챔버(322)로부터 챔버(325)로 전달된다. 이때 웨이퍼(1, 2)는 각각 챔버(326, 325) 내에서 적당한 금속 증착 처리에 의해 동시에 또는 거의 동시에 처리 된다.
웨이퍼(3)가 챔버(324) 내에서 처리가 된후, 로봇(313)은 웨이퍼(5)가 리프트(317)로부터 챔버(324)로 전달되는 동안에 웨이퍼(3)를 챔버(324)로부터 리프트(305)로 전달한다. 이때 웨이퍼(5)는 적절한 예비-청정 방법에 의해 챔버(324) 내에서 처리 된다. 웨이퍼(4)가 챔버(323) 내에서 처리가 된 후에, 로봇(313)은 웨이퍼(6)를 리프트(305)로부터 챔버(323)로 그리고 웨이퍼(4)를 챔버(323)로부터 챔버(322)로 전달한다. 웨이퍼(6)는 이때 적당한 예비-청정 방법에 의해 처리 된다. 로봇(313)이 웨이퍼(4)를 챔버(322) 내로 위치시키는 때, 로봇(313)은 웨이퍼(3)를 리프트(305)로부터 제거하여 챔버(321) 내에 웨이퍼(3)를 위치시킨다. 웨이퍼(3, 4)는 각각 챔버(321, 322) 내에서 적당한 시드 또는 배리어 층 처리에 의해 동시에 또는 거의 동시에 처리 된다.
상기 전달이 수행되는 동안에, 웨이퍼(7, 8)는 배향되며, 각각 단일 기판 로드 락(316, 314) 내로 전달된다. 웨이퍼(7, 8)는 예열되며, 탈가스된다. 웨이퍼(2)가 챔버(325) 내에서 처리가 완료되는 때, 로봇(313)은 웨이퍼(2)를 챔버(325)로부터 제거하여 웨이퍼(2)를 리프트(307) 위에 위치시킨다. 보조 블레이드(303)에 의해, 로봇(311)은 단일 기판 로드 락(316)으로부터 웨이퍼(7)를 제거하며 주 블레이드(301)에 의해 웨이퍼(2)를 리프트(305)로부터 제거한다. 이때 로봇(311)은 웨이퍼(2)를 단일 기판 로드 락(314) 내로 그리고 웨이퍼(7)를 리프트(305) 위에 위치시킨다. 다음으로, 로봇(311)은 웨이퍼(8)를 단일 기판 로드 락(314)으로부터 리프트(305)로 전달하며 그리고 웨이퍼(1)를 챔버(326)로부터 단일 기판 로드 락(316)으로 전달한다. 웨이퍼(2, 1)가 각각 단일 기판 로드 락(314, 316) 내로 각각 위치하는 때, 웨이퍼는 로드 락이 대기로 배기하는 경우 로드 락 내에 위치하는 기판 지지부에 의해 제어되는 온도에 의해 냉각된다. 로드 락이 배기되는 경우, 대기 로봇(206)은 이때 웨이퍼(1, 2)를 로드 락(314, 316)으로부터 저장 카세트(220)로 전달한다.
상기 전달이 수행되는 동안에, 웨이퍼(3, 4)는 챔버(322, 321) 내에서 처리가 완료된다. 웨이퍼(1, 2)에 관하여 상기 언급된 바와 같이, 웨이퍼(3, 4)는 챔버(325, 326) 내로 전달되며 거의 동시에 처리 된다. 다음으로, 웨이퍼(1, 2, 3, 4)에 관하여 상기 기술된 바와 같이, 웨이퍼(7)는 챔버(324) 내에 위치하며, 웨이퍼(5)는 리프트(305) 위에 위치한다. 웨이퍼(8)는 챔버(323) 내에 위치하며 웨이퍼(6)는 챔버(322) 내에 위치한다. 웨이퍼(5)는 웨이퍼(5, 6)의 처리가 동시에 또는 거의 동시에 발생하도록 챔버(321) 내에 위치한다. 상기 기술된 시퀀스를 이용하여, 웨이퍼의 쌍들은 적어도 2개의 처리 시퀀스가 한쌍의 웨이퍼에서 동시에 또는 거의 동시에 수행되는 3개의 처리 시퀀스(예컨대, 예비-청정, 시드 층 증착, 그리고 금속 층 증착)를 가지는 처리 장치(200)를 통과하여 이동한다. 동시에 또는 거의 동시에 처리를 수행하는 것의 하나의 장점은 장비가 처리 장치 사이에서 공유되어 이로 인해 비용이 절감된다는 데 있다. 예컨대, 상기 언급된 병렬 처리 시퀀스를 이용할 때, 챔버(321, 322)는 공통의 가스 공급, 질량 유동 제어기 및 진공 펌프를 공유한다.
상기 언급된 병렬의 처리 시퀀스는 본 발명의 장치의 실시예인 다수의 전달 경로의 단순한 예시이다. 예컨대, 웨이퍼는 먼저 챔버(323, 324) 내로 전달된 다음, 챔버(325, 326) 내에서의 처리가 뒤따를 수 있다. 웨이퍼는 장치에서 배출되기 전에 단일 기판 로드 락(314, 316)에 의해 챔버(321, 322) 내에서 처리될 수 있다. 비록 처리 장치(200)를 통과하는 처리량이 감소되더라도, 로봇(313, 311)은 도 4a에 도시된 듀얼 블레이드 로봇 대신에 단일 블레이드를 가지는 형태일 수 있다. 처리 챔버(321-326)는 또한 특정한 금속화 시퀀스를 수행하도록 선택될 수 있다. 예컨대, 구리 증착 시퀀스에서, 챔버(323, 324)는 예비-청정 챔버이며, 챔버(322, 321)는 탄탈 또는 질화 탄탈 시드 층의 증착에 적당한 챔버이며, 그리고 챔버(325, 326)는 구리 층의 증착에 적당하다. 처리 장치(200)가 알루미늄 증착에 이용되는 다른 실시예에서, 처리 챔버(323, 324)는 역시 예비-청정 챔버이다. 챔버(322, 321)는 탄탈 또는 질화 탄탈 시드 층의 증착에 적당하다. 챔버(325, 326)는 알루미늄의 증착에 적당한 챔버이다.
처리 시퀀스의 다른 예는 도 27에 개략적으로 도시된다. 도 27에서, 웨이퍼(1, 3, 5, 7 등)는 로드 락(314)으로부터 리프트(305), 챔버(323), 리프트(307), 챔버(321), 챔버(326), 그리고 로드 락(314)까지 이동한다. 웨이퍼(2, 4, 6, 8 등)는 로드 락(316)으로부터 리프트(307), 챔버(324), 챔버(322), 챔버(325), 리프트(305)로 이동하며 로드 락(316)으로 돌아온다. 연속적인 이동 사이의 로봇 이동의 거리는 이와 같은 시퀀스에서는 감소한다,
자동화된 처리 장치(200)는 듀얼 직렬 모드에서의 처리 작동을 수행하는데 또한 이용될 수 있다. 듀얼 직렬 모드에서, 챔버(323, 324)는 같은 형태의 챔버이며, 챔버(322, 325)는 같은 형태의 챔버이며, 그리고 챔버(321, 326)는 같은 형태의 챔버이다. 예컨대 기판이 예비-청정되는 금속화 처리 시퀀스가 증착된 배리어 층과 증착된 금속 층을 가지는 대표적인 처리 시퀀스에서, 챔버(323, 324)는 예비-청정이며, 챔버(322, 325)는 적당한 시드 층 또는 요구되는 금속 층에 대한 배리어 층을 증착 하도록 배열되어 있으며, 그리고 챔버(321, 326)는 요구되는 금속 층을 증착하기 위해 배열되어 있다. 요구되는 금속 층이 예컨대, 구리인 경우에, 이때 챔버(322, 325)는 적절한 배리어 층 또는 예컨대 산화 탄탈 또는 질화 탄탈의 시드 층을 증착하기 위해 배열되어 있다.
듀얼 직렬 처리 시퀀스에서, 장치를 통과하는 대표적인 이동의 시퀀스는 로봇(311), 리프트(305), 및 로봇(313)에 의한 단일 기판 로드 락(314)으로부터 챔버(323)로의 이동과, 로봇(313)에 의한 챔버(323)로부터 챔버(322)로의 이동, 로봇(313), 리프트(305), 및 로봇(311)에 의한 챔버(322)로부터 챔버(321)로의 이동, 그리고 챔버(321)로부터 단일 기판 로드 락(314)으로의 이동이다. 장치를 통과하는 기판의 또 다른 대표적인 이동의 시퀀스는 로봇(311), 리프트(307), 및 로봇(313)에 의한 단일 기판 로드 락(316)으로부터 챔버(324)로의 이동과, 로봇(313)에 의한 챔버(324)로부터 챔버(325)로의 이동, 로봇(313), 리프트(307), 및 로봇(311)에 의한 챔버(325)로부터 챔버(326)로의 이동, 그리고 챔버(326)로부터 단일 기판 로드 락(316)으로의 이동이다. 한쌍의 기판이 거의 동시에 처리되는 상기 기술된 병렬의 처리 시퀀스와는 달리, 듀얼 직렬 처리 시퀀스에서는 기판은 전달 체적(299)을 이용하고 공유하는 2개의 분리된 직렬 처리 경로에 의해 처리 된다.
이제, 듀얼 직렬 처리 시퀀스를 구리 증착 시퀀스에 관하여 기술된다. 예컨대, 챔버(323, 324)는 캘리포니아 산타클라라의 어플라이드 머터리알스 주식회사에서 이용 가능한 Reactive Pre-Clean Ⅱ 챔버와 같은 예비-청정 챔버이며, 챔버(322, 325)는 탄탈 또는 질화 탄탈을 증착하기 위해 배열된 또한 캘리포니아 산타클라라의 어플라이드 머터리알스 주식회사에서 이용가능한 IMP PVD 챔버이며, 그리고 챔버(321, 326)는 캘리포니아 산타클라라의 어플라이드 머터리알스 주식회사에서 이용가능한 IMP VECTRA PVD Cu 챔버와 같은 구리를 증착하기 위해 구성된 챔버이다.
도 28 내지 도 52는 기판의 처리량을 최적화 하도록 배열되고 작동되는 처리 장치의 다양한 대표적인 처리 단계를 나타내고 있다. 도시된 처리 장치는 도 4a에서 도시된 실시예와 유사하며 도면번호도 그에 따른다. 도 28 내지 52에 의하면, 처리 장치(200)은 5개의 처리 챔버(321-326)와 각각 전달 챔버에 부착된 2개의 로드 락(314, 316)을 포함한다. 전달 챔버(302)는 전달 로봇(311, 313) 및 리프트(305, 307)를 포함한다. 도 28 내지 도 52에서 도시된 처리 장치는, 예컨대, 챔버(324)가 티타늄 증착을 위해 설치되며, 챔버(321, 322)가 기판 예비-청정 작동을 위해 설치되며, 그리고 챔버(325, 326)가 질화 티타늄 증착을 위해 설치되는 라이너 배리어 처리 시퀀스(liner barrier processing sequence)를 위해 배열된다.
기판은 대기 로봇(206;도 4a에 도시됨)에 의해 단일 기판 로드 락의 한쪽으로 적재된다. 단일 기판 로드 락이 적절한 전달 압력으로 소개되는 경우 기판은 적절한 방법에 의해 탈가스된다. 다음으로, 기판은 로봇(311)에 의해 적절한 예비-청정 방법이 수행되는 한쪽의 예비-청정 챔버 내로 전달된다. 다음으로, 기판은 티타늄 층이 증착되는 챔버(324) 내로 전달된다. 다음으로, 기판은 질화 티타늄이 증착되는 한쪽의 챔버(325, 326) 내로 전달된다. 마지막으로, 냉각 및 대기 로봇(206)에 의하여 요구되는 저장 카세트(220) 내로의 연속적인 전달을 위해서 기판은 한쪽의 단일 기판 로드 락(314, 316) 내로 전달된다. 대표적인 처리 시퀀스 시간은, 예컨대, 탈가스 작동에 약 30초, 청정 작동에 약 45초, 티타늄 증착 작동에 약 25초, 질화 티타늄 증착 작동에 약 45초, 그리고 냉각 작동에 약 10초이다. 각각의 상기 작동에 대한 정확한 시간은 실제 적용에 따라 변화할 수 있다. 처리 장치(200)에 제공된 처리 챔버의 수와 형태는 작업 처리량 및 각각의 챔버에 대한 처리 작동 시간 뿐만 아니라 전달 고려사항에 근거하여 선택되어 진다.
도 28 내지 도 52를 참조하면, 도시된 처리 단계(1-25)가 더 잘 인식된다. 각각의 처리 단계(1-25)는 도면에서 번호 1 내지 12인 연속된 웨이퍼에 대한 상기 언급된 전달 시퀀스를 나타내고 있다. 처리 단계 1 내지 18은 과도 처리 단계이며 장치에 대해 초기에 기판을 채우는데 사용되는 처리 시퀀스를 나타낸다. 채워진 장치(full system)는 각각의 처리 챔버(321-326)가 기판을 포함하고, 각각의 전달 로봇(311, 313)이 블레이드에 위치한 기판을 가지며, 그리고 기판은 리프트(305, 307)의 한쪽에 위치하는 처리 단계를 나타낸다. 장치가 채워진 경우, 정상 상태의 처리 단계(예컨대, 도 28에 도시된 장치에 의해 수행되는 19 내지 24의 6개의 처리 단계)는 상기 기술된 처리 시퀀스에 의해 기판이 상기 장치의 연속적인 기판 사이클로 반복된다. 처리 장치(200)는 다수의 다른 처리 시퀀스 및 챔버 배열을 제공하도록 설치될 수 있다는 것을 인식할 수 있다.
처리 단계(19)와 같이, 처리 단계(25)는 처리 단계(19-24)에서 도시된 6개의 정상 상태 처리 단계의 다른 세트중 첫번째 단계이다. 정상 상태 처리 단계동안 장치의 작동은 웨이퍼가 처리 장치를 통하여 시퀀스 되는 경우에 웨이퍼 교환(wafer swaps)의 부가적인 장점을 제공한다. 웨이퍼 교환은 하나의 기판을 운반하는(즉 로봇이 빈 블레이드를 가지고 있는 경우) 로봇(예컨대 전달 로봇(311, 313) 또는 대기 로봇(206))이 빈 블레이드로 기판을 집어 올리며 그 다음에, 기판이 제거된 위치로 기판을 위치시키는 2개의 블레이드를 갖는 로봇에 의해 수행되는 웨이퍼 이동 시퀀스를 나타낸다. 예컨대, 기판 교환은 처리 단계(9, 10) 사이에서 발생하며, 전달 로봇(313)의 블레이드로부터 리프트(305)까지의 웨이퍼(1)의 이동 및 리프트(305)로부터 전달 로봇(313)의 다른 블레이드까지의 웨이퍼(5)의 이동을 나타낸다. 웨이퍼(5)에 대한 설명을 계속하면, 웨이퍼(3)가 로봇(313)의 빈 블레이드(즉 웨이퍼(5)를 포함하지 않은 로봇 블레이드가)에 의해 챔버(322)로부터 제거되는 처리 단계(10,11) 사이에서 로봇(313)은 다른 웨이퍼 교환을 수행하며, 웨이퍼(5)는 연속하여 챔버(322) 내로 위치한다.
처리 단계 1(도 28)은 각각 로드 락(314, 316)내의 웨이퍼(1, 2)의 위치를 도시한다. 로드 락(314, 316)이 적당한 전달 압력으로 감압되는 경우 웨이퍼(1, 2)는 요구되는 탈가스 방법에 의해 탈가스된다. 처리 단계 2(도 29)는 로드 락(314)으로부터 리프트(305)위로의 웨이퍼(1)의 전달을 도시한다. 로드 락(314)은 대기로 배기되며, 이때 웨이퍼(3)가 적재되며, 그리고 로드 락(314)이 다시 전달 압력으로 소개되는 경우 탈가스된다. 처리 단계 3(도 30)은 웨이퍼(1, 2)가 요구되는 청정 방법에 의하여 처리되는 챔버(322, 321) 내로 각각 웨이퍼(1, 2)의 전달을 도시한다. 로드 락(316)은 대기로 배기된다. 로드 락(316)이 전달 압력으로 감압되는 경우 웨이퍼(4)는 적재되며 탈가스된다. 처리 단계 4(도 31)는 로드 락(314)으로부터 리프트(305)위로의 웨이퍼(3)의 이동 및 로드 락(314)이 전달 압력으로 펌핑되는 경우 기판(5)의 적재와 탈가스를 도시한다. 처리 단계 5(도 32)는 전달 로봇(313)의 블레이드 위로의 웨이퍼(3)의 이동과 전달 로봇(311)의 블레이드 위로의 웨이퍼(4)의 이동을 도시한다. 처리 단계 5는 연속한 탈가스 및 전달 압력으로의 소개를 위해 기판(6)이 로드 락(316) 내로 적재되는 단계를 도시하고 있다.
처리 단계 6(도 33)은 로봇(313, 311)에 의해 수행되는 동시에 일어나는 웨이퍼 교환을 도시하고 있다. 로봇(311)이 웨이퍼(2)를 웨이퍼(4)로 교환하는 경우 로봇(313)은 웨이퍼(1)를 웨이퍼(3)으로 교환한다. 이제 웨이퍼(3, 4)는 챔버(321, 322) 내에서 수행되는 요구되는 청정 방법에 의하여 청정된다. 처리 단계 7(도 34)은 요구되는 티타늄 증착 처리가 수행되는 챔버(324) 내로의 웨이퍼(1)의 이동을 도시하고 있다. 또한 로봇(311)의 블레이드로부터 리프트(307) 위의 위치까지 웨이퍼(2)의 이동을 도시하고 있다. 처리 단계 8(도 35)은 로봇(313)의 블레이드 위의 웨이퍼(2)의 이동 및 로드 락(314)으로부터 리프트(305)위로의 웨이퍼(5)의 이동을 도시하고 있다. 또한 로드 락(314) 내로의 웨이퍼(7)의 이동을 도시하고 있다. 웨이퍼가 어느 한쪽의 로드락(314, 316) 내로 적재되는 경우, 웨이퍼는 요구되는 탈가스 방법에 의해 탈가스되며 단일 기판 로드 락으로부터 전달 로봇(311)의 블레이드 위로 웨이퍼의 이동을 촉진하기 위해 관련된 로드 락이 적절한 전달 압력으로 소개되는 것을 알 수 있다. 웨이퍼가 로드 락으로부터 전달 로봇(311)의 블레이드위로 전달된 후에, 대기 로봇(206)으로부터 다른 웨이퍼를 수용하기 위해 로드 락은 대기압으로 배기된다. 또한, 예컨대 처리 상태(20) 및 처리 상태(22)와 같은 후 처리 상태에서, 로드 락(314, 316)은 대기로 배기될 뿐만 아니라 웨이퍼가 전달 챔버(302)로 배출되는 경우 웨이퍼 냉각 작동을 수행한다(예컨대, 웨이퍼(1)가 처리 상태(20)에서 냉각되며 웨이퍼(2)는 처리 상태(22)동안 냉각된다).
처리 단계 9(도 36)에서, 로봇(313)은 웨이퍼(1, 2)간의 웨이퍼 교환을 수행한다. 웨이퍼(6)는 로봇(313)의 블레이드 위로 전달되며 웨이퍼(8)는 로드 락(316) 내로 적재된다. 처리 단계 10(도 37)에서, 로봇(313)은 웨이퍼(1, 5)간의 교환을 수행한다. 처리 단계 11(도 38)에서, 로봇(313, 311)은 동시에 발생하는 교환을 수행한다. 로봇(313)이 웨이퍼(4, 6)를 교환하는 동안 로봇(313)은 웨이퍼(5, 3)를 교환한다. 처리 단계 12(도 39)에서, 로봇(311)이 웨이퍼(1, 4)를 교환하는 동안 로봇(313)은 웨이퍼(2, 3)를 교환한다. 처리 단계 13(도 40)에서, 로봇(311, 313)은 동시에 웨이퍼(1, 2)를 챔버(325, 326) 내로 적재한다. 이때 웨이퍼(1, 2)는 요구되는 질화 티타늄 증착 처리에 의해 처리 된다. 처리 단계 14(도 41)에서, 웨이퍼(4)는 로봇(313)의 블레이드 위로 이동하며 그리고 웨이퍼(7)는 리프트(307)로 이동한다. 또한, 웨이퍼(9)는 로드 락(314) 내로 적재된다. 처리 단계 15(도 42)는 로봇(313)에 의해 수행되는 웨이퍼(3, 4)에 대한 웨이퍼 교환을 도시하고 있다. 처리 단계 15(도 42)는 로봇(313)에 의해 수행되는 웨이퍼(3, 4)에 대한 웨이퍼 교환을 도시하고 있다. 처리 단계 16(도 43)은 로봇(313)에 의해 수행되는 웨이퍼(3, 7)간의 웨이퍼 교환, 로봇(311)의 블레이드 위로의 웨이퍼(8)의 이동, 그리고 로드 락(316) 내로의 웨이퍼(10)의 적재를 도시하고 있다. 처리 단계 17(도 43)은 로봇(313, 311)에 의해 수행되는 웨이퍼(5, 7)와 웨이퍼(6, 8)간의 동시에 발생하는 웨이퍼 교환을 도시하고 있다. 처리 단계 18(도 45)은 로봇(313)에 의해 수행되는 웨이퍼(4, 5)간의 웨이퍼 교환과 로봇(311)에 의해 수행되는 웨이퍼(3, 6)간의 웨이퍼 교환을 각각 도시하고 있다. 처리 단계 19(도 46)는 로봇(313)에 의해 수행되는 웨이퍼(2, 4)간의 웨이퍼 교환과 로봇(311)에 의해 수행되는 웨이퍼(1, 3)간의 웨이퍼 교환을 각각 도시하고 있다. 처리 단계 20(도 47)은 로봇(311)에 의해 수행되는 웨이퍼(1, 9)간의 웨이퍼 교환을 도시하고 있다. 처리 단계(20)에서의 로드 락(314)은 웨이퍼(1)에 대한 냉각 챔버로 작동한다. 이는 로드 락(314) 내에 위치한 수 냉각된 받침대위로 웨이퍼(1)가 이동하는 것을 나타낸다. 또한 처리 단계 20은 로봇(313)에 의해 수행되는 웨이퍼(2, 6)간의 웨이퍼 교환을 도시하고 있다.
처리 단계 21(도 48)에서, 웨이퍼(1)는 처리가 완료되며 대기 로봇(206)에 의해 로드 락(314)으로부터 하역된다. 웨이퍼(1)의 하역 후에, 대기 로봇(206)은 웨이퍼(11)를 로드 락(314) 내로 위치시키는 웨이퍼 교환을 수행한다. 또한, 로봇(313, 311)은 각각 웨이퍼(5, 6)간의 웨이퍼 교환과 웨이퍼(2, 9)간의 웨이퍼 교환을 수행한다. 처리 단계 22(도 49)에서, 로봇(311)은 웨이퍼(2, 10)간의 웨이퍼 교환을 수행한다. 웨이퍼(2)는 이제 로드 락(316) 내에서 냉각된다. 로봇(313)은 웨이퍼(9, 5)간의 웨이퍼 교환을 수행한다. 처리 단계 23(도 50)에서, 대기 로봇(206)은 처리된 웨이퍼(2)를 들어오는 웨이퍼(12)로 교환한다. 동시에, 로봇(313, 311)은 각각 웨이퍼(7, 9)간의 웨이퍼 교환과 웨이퍼(8, 10)간의 웨이퍼 교환을 수행한다. 처리 단계 24(도 51)에서, 로봇(313, 311)은 각각 웨이퍼(6, 7)간의 웨이퍼 교환과 웨이퍼(5, 8)간의 웨이퍼 교환을 수행한다. 처리 단계 25(도 52)는 로봇(313, 311)에 의해 수행되는 로봇에 위치하는 웨이퍼와 챔버(325, 326) 내에 위치하는 웨이퍼간의 동시 발생하는 웨이퍼 교환을 도시하고 있다. 이러한 동시 발생하는 웨이퍼 교환은 처리 단계 19에 관하여 기술된 웨이퍼 교환과 유사하며, 상기 기술된 처리 시퀀스에 의한 처리 장치 통해 웨이퍼가 대칭적인 사이클로 반복되는 다음 차례의 일련의 정상 상태를 나타낸다는 것을 인식 가능한 것이다. 따라서, 처리 상태 19 내지 24에서 도시된 웨이퍼 전달 시퀀스는 도 28에서 배열되고 기술된 처리 장치(200)에 의해 수행되는 정상 상태 처리 시퀀스를 나타낸다.
전술한 내용은 본 발명의 바람직한 실시예를 나타내며, 본 발명의 다른 실시예는 본 발명의 영역에서 벗어남이 없이 고안될 수 있으며, 본 발명의 영역은 이하의 청구항에 의해 결정된다.
본 발명에 의하면, 쉽게 유지 및/또는 재배열이 가능하며 주어진 시간 내에서 높은 기판의 출력량이 가능한 모듈 진공 처리 장치가 제공된다.
또한 본 발명은 일반적으로 처리 장치 및 모듈식 부품을 제공하며 기판의 진행과 가공 방법도 제공한다.

Claims (6)

  1. 기판 처리 장치로서,
    전달 챔버,
    상기 전달 챔버에 접속된 하나 이상의 로드 락 챔버,
    상기 전달 챔버에 접속된 하나 이상의 처리 챔버,
    상기 전달 챔버에 인접하게 설치되고, 하나 이상의 상기 처리 챔버 및 상기 로드 락 챔버에 대한 접속 설비를 가지는 모듈식 배관 트레이, 및
    하나 이상의 상기 처리 챔버, 로드 락 챔버 및 전달 챔버에 인접하게 설치된 챔버 트레이를 포함하며, 상기 챔버 트레이가 상기 배관 트레이내의 하나 이상의 접속 설비에 접속된 접속 설비를 가지는 기판 처리 장치.
  2. 기판 처리 방법으로서,
    기판을 대기압으로부터 로드 락 챔버 내로 도입하는 단계,
    상기 로드 락 챔버내의 상기 기판을 탈가스 및/또는 예열하는 단계,
    상기 기판을 전달 챔버 내로 도입하는 단계, 및
    하나 이상의 처리 챔버 내에서 기판을 처리하는 단계를 포함하는 기판 처리 방법.
  3. 제 2 항에 있어서,
    상기 기판을 상기 로드 락 챔버 내로 도입하는 단계,
    상기 로드 락 챔버 내에서 상기 기판을 냉각하는 단계, 및
    상기 로드 락 챔버를 대기압으로 배기하는 단계를 더 포함하는 기판 처리 방법.
  4. 처리 장치상의 디바이스에 대한 분배 설비용 장치로서,
    하나 이상의 설비 인터페이스 및 하나 이상의 챔버 인터페이스를 가지는 포위부, 및
    상기 하나 이상의 설비 인터페이스 및 상기 하나 이상의 챔버 인터페이스 사이에 접속된 상기 포위부 내에 설치된 하나 이상의 처리 가스 매니폴드, 진공 매니폴드, 물 매니폴드 및 헬륨 매니폴드를 포함하는 분배 설비용 장치.
  5. 분배 설비용 장치로서,
    하나 이상의 전자 박스, 가스 패널, 진공 라인 및 제어기 장치가 설치된 지지 프레임을 포함하는 분배 설비용 장치.
  6. 기판 처리 방법으로서,
    한 쌍의 기판을 처리 장치내의 별개의 로봇의 2개의 블레이드 위에 위치시키는 단계,
    기판을 한 쌍의 제 1 처리 챔버로 병렬적으로 이동시키는 단계, 및
    기판을 한 쌍의 제 2 처리 챔버로 병렬적으로 이동시키는 단계를 포함하는 기판 처리 방법.
KR1020000071994A 1999-11-30 2000-11-30 일체식 모듈형 처리 플랫폼 KR20010052045A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45162899A 1999-11-30 1999-11-30
US9/451,628 1999-11-30

Publications (1)

Publication Number Publication Date
KR20010052045A true KR20010052045A (ko) 2001-06-25

Family

ID=23793014

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000071994A KR20010052045A (ko) 1999-11-30 2000-11-30 일체식 모듈형 처리 플랫폼

Country Status (4)

Country Link
EP (1) EP1107288A2 (ko)
JP (1) JP2001237297A (ko)
KR (1) KR20010052045A (ko)
TW (1) TW484170B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100766448B1 (ko) * 2006-03-29 2007-10-12 주식회사 제이씨텍 Fpd소자 제조용 박막증착 및 처리 설비
KR100852259B1 (ko) * 2006-12-18 2008-08-14 (주)케이코아 반도체용 진공펌프의 랙 구조
KR101019531B1 (ko) * 2008-07-25 2011-03-07 주식회사 에스에프에이 플라즈마 처리장치

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6397883B1 (en) * 1999-12-16 2002-06-04 The Boc Group, Inc. Equipment skid
US7032614B2 (en) 2000-11-03 2006-04-25 Applied Materials, Inc. Facilities connection box for pre-facilitation of wafer fabrication equipment
US7063301B2 (en) * 2000-11-03 2006-06-20 Applied Materials, Inc. Facilities connection bucket for pre-facilitation of wafer fabrication equipment
US20020162938A1 (en) * 2000-11-03 2002-11-07 Applied Materials, Inc. Facilities connection bucket for pre-facilitation of wafer fabrication equipment
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
JP2003243481A (ja) * 2002-02-21 2003-08-29 Asm Japan Kk 半導体製造装置及びメンテナンス方法
WO2003075325A1 (en) * 2002-03-01 2003-09-12 Trikon Technologies Limited Pedestal for mounting semiconductor fabrication equipments
JP4280159B2 (ja) * 2003-12-12 2009-06-17 東京エレクトロン株式会社 基板処理装置
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060045668A1 (en) * 2004-07-19 2006-03-02 Grabowski Al W System for handling of wafers within a process tool
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US8272825B2 (en) * 2007-05-18 2012-09-25 Brooks Automation, Inc. Load lock fast pump vent
JP5260981B2 (ja) * 2008-02-22 2013-08-14 株式会社日立ハイテクノロジーズ 真空処理装置
JP5384925B2 (ja) * 2008-12-18 2014-01-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
PL2929255T3 (pl) 2012-12-04 2017-08-31 Abb Schweiz Ag Recyrkulacyjna jednostka chłodząca
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6101504B2 (ja) * 2013-02-14 2017-03-22 株式会社日立ハイテクノロジーズ 真空処理装置のモジュール検査装置
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
CN111213227B (zh) * 2017-10-19 2023-10-13 瑞士艾发科技 用于处理衬底的方法和设备
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
USD973737S1 (en) 2020-11-17 2022-12-27 Applied Materials, Inc. Mainframe of substrate processing system
USD973116S1 (en) * 2020-11-17 2022-12-20 Applied Materials, Inc. Mainframe of substrate processing system
USD1029066S1 (en) * 2022-03-11 2024-05-28 Applied Materials, Inc. Mainframe of dual-robot substrate processing system

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100766448B1 (ko) * 2006-03-29 2007-10-12 주식회사 제이씨텍 Fpd소자 제조용 박막증착 및 처리 설비
KR100852259B1 (ko) * 2006-12-18 2008-08-14 (주)케이코아 반도체용 진공펌프의 랙 구조
KR101019531B1 (ko) * 2008-07-25 2011-03-07 주식회사 에스에프에이 플라즈마 처리장치

Also Published As

Publication number Publication date
TW484170B (en) 2002-04-21
JP2001237297A (ja) 2001-08-31
EP1107288A2 (en) 2001-06-13

Similar Documents

Publication Publication Date Title
KR20010052045A (ko) 일체식 모듈형 처리 플랫폼
US6700089B1 (en) Plasma processing device, its maintenance method, and its installation method
US6176667B1 (en) Multideck wafer processing system
JP3677211B2 (ja) 物理蒸着室および化学蒸着室を共に処理システムに統合するためのバッファ室および統合方法
US6817377B1 (en) Processing apparatus having integrated pumping system
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8192131B1 (en) Architecture for high throughput semiconductor processing applications
EP0843340B1 (en) Method and apparatus for processing wafers
JP4790985B2 (ja) 独立して変更可能な一体的なロードロックを有する基板処理装置
US7901539B2 (en) Apparatus and methods for transporting and processing substrates
EP0843339B1 (en) Processing apparatus
US20080202892A1 (en) Stacked process chambers for substrate vacuum processing tool
US6277199B1 (en) Chamber design for modular manufacturing and flexible onsite servicing
US9524889B2 (en) Processing systems and apparatus adapted to process substrates in electronic device manufacturing
US20080206036A1 (en) Magnetic media processing tool with storage bays and multi-axis robot arms
JP4603633B2 (ja) 処理室排気システム
US20020096114A1 (en) Series chamber for substrate processing
JPH10154738A (ja) ウェハ・カセット回転台及びオンザフライ・ウェハ・センタ・ファインディングを有する前置ウェハ・ステージング
US5820692A (en) Vacuum compatible water vapor and rinse process module
US20080202420A1 (en) Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20120014768A1 (en) Vacuum processing apparatus
US20020137346A1 (en) Workpiece distribution and processing in a high throughput stacked frame
US6523563B2 (en) Modular gas panel closet for a semiconductor wafer processing platform
US20180190521A1 (en) Substrate processing apparatus
US20080202419A1 (en) Gas manifold directly attached to substrate processing chamber

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid