KR20010045454A - 반도체 소자의 금속 배선 형성 방법 - Google Patents

반도체 소자의 금속 배선 형성 방법 Download PDF

Info

Publication number
KR20010045454A
KR20010045454A KR1019990048752A KR19990048752A KR20010045454A KR 20010045454 A KR20010045454 A KR 20010045454A KR 1019990048752 A KR1019990048752 A KR 1019990048752A KR 19990048752 A KR19990048752 A KR 19990048752A KR 20010045454 A KR20010045454 A KR 20010045454A
Authority
KR
South Korea
Prior art keywords
copper
layer
forming
plasma processing
hydrogen
Prior art date
Application number
KR1019990048752A
Other languages
English (en)
Other versions
KR100341849B1 (ko
Inventor
표성규
Original Assignee
박종섭
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 박종섭, 주식회사 하이닉스반도체 filed Critical 박종섭
Priority to KR1019990048752A priority Critical patent/KR100341849B1/ko
Priority to TW89123263A priority patent/TW486746B/zh
Priority to JP2000337605A priority patent/JP2001144094A/ja
Publication of KR20010045454A publication Critical patent/KR20010045454A/ko
Application granted granted Critical
Publication of KR100341849B1 publication Critical patent/KR100341849B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 금속 배선 재료로 구리를 사용하는 반도체 소자의 금속 배선 형성 방법에 관한 것으로, 물리기상증착(PVD)법이나 전기도금(Electroplating)법으로 매립하기 어려운 초 미세 배선 구조에 구리를 화학기상증착(CVD)법으로 매립할 때, 구리의 접착(adhesion) 특성을 향상시키기 위하여, 초 미세 다마신 패턴에 배리어 금속층(barrier metal layer)을 형성한 후, 배리어 금속층에 존재하는 미세 산화물(oxide), 질화물(nitride) 등과 같은 계면 불순물(interfacial impurity)을 리모트 플라즈마 및/또는 챔버 플라즈마 처리하여 제거하는 금속 배선 형성 방법에 관하여 기술된다.

Description

반도체 소자의 금속 배선 형성 방법 {Method of forming a metal wiring in a semiconductor device}
본 발명은 반도체 소자의 금속 배선 형성 방법에 관한 것으로, 특히 화학기상증착(CVD)법에 의한 구리의 접착(adhesion) 특성을 향상시킬 수 있는 반도체 소자의 금속 배선 형성 방법에 관한 것이다.
일반적으로, 반도체 소자에서 금속 배선을 형성함에 있어서, 구리 박막은 알루미늄 박막에 비해 녹는점이 높아 전기적 이동(electro-migration; EM)에 대한 저항이 커서 반도체 소자의 신뢰성을 향상시키고, 비저항 약 1.7μΩcm정도로 낮아 신호전달 속도를 증가시킬 수 있다. 따라서 구리 박막의 형성 기술은 고속 소자 및 고집적 소자에서 필요한 기술이다.
차세대 반도체 소자의 급격한 고성능화 추세로 인하여 콘택 크기의 감소와 급격한 단차(aspect ratio)의 증가로 인하여 우수한 단차 피복성(Step coverage) 및 우수한 콘택 매립이 요구된다. 현재의 추세는 타이타늄(Ti) 박막 증착 후 알루미늄(A1) 박막을 물리기상증착(PVD)법 및 화학기상증착(CVD)법이 사용되는 방법과, 구리의 확산 방지막으로 물리기상증착법으로 증착된 Ta, TaN을 이용한 전기도금법으로 구리(Cu)를 증착하는 방법이 적용되고 있는 추세이다. 그러나, 전자의 경우는 알루미늄 박막이 구리 박막보다 저항이 높아서 차세대 고성능 반도체 소자의 적용에는 문제점을 가지고 있으며, 후자의 경우 콘택 크기의 급격한 감소 및 단차의 증가는 전기도금법을 이용한 구리 증착이 한계에 부딪치는 문제점이 있으며, 따라서 알루미늄 배선 및 전기 도금을 이용한 구리 배선의 적용은 차세대 반도체 소자에 많은 문제점을 가지고 있다.
또한, 초 미세 배선 구조에 화학기상증착법으로 구리를 매립할 경우 현재까지 가장 큰 문제점으로 지적되고 있는 것은 화학기상증착법에 의한 박막의 접착(adhesion) 문제이다. 접착이 매우 취약할 경우에는 후속 공정에 상당한 문제점을 야기시켜 구리 금속 배선의 불량을 초래하게 된다. 따라서, 화학기상증착법으로 형성된 구리 박막이 반도체 소자에 적용되기 위해서는 접착 문제가 개선되어야 한다. 통상적으로 구리층을 형성하기 전에 Ta, TaN, TiN과 같은 물질을 사용하여 배리어 메탈층(barrier metal layer)을 형성하고 있는데, 이때 역시 접착이 큰 문제로 지적되고 있다.
구리층의 접착 문제를 개선하기 위하여 여러 가지 방법이 시도되고 있는데, 다음의 방법들이 주로 시도되고 있다. 첫째, 화학기상증착법으로 구리층을 형성한 후에 300 내지 400℃ 정도에서 열처리하는 방법인데, 이 방법은 계면 불순물(interfacial impurity)을 감소시키는 효과는 있으나, 생산성( throughput)이 문제가 되며, 또한 저유전율 유전체(low k dielectrics)를 사용할 경우에 인터그레이션(integration) 문제를 야기하기 때문에 적적한 해결책(optimal solution)이 아니다. 둘째, 화학기상증착법으로 구리층을 증착하기 전에 첨가제를 첨가하는 방법이나, 전구체(precursor)를 새롭게 개발하는 방법이 있는데, 이 방법들은 뚜렷한 개선책이 되지 못하고 있다. 최근에는 화학기상증착법으로 구리층을 증착하기 전에 물리기상증착법으로 구리 시드층을 먼저 형성한 다음 화학기상증착법으로 구리층을 형성하는 방법이 하나의 개선책으로 제시되고 있다.
따라서, 본 발명은 화학기상증착법만으로 구리층을 형성하되, 구리의 접착 특성을 향상시킬 수 있는 반도체 소자의 금속 배선 형성 방법을 제공함에 그 목적이 있다.
이러한 목적을 달성하기 위한 본 발명에 따른 반도체 소자의 금속 배선 형성 방법은 하지층이 형성된 기판 상에 층간 절연막을 형성하는 단계; 상기 층간 절연막에 다마신 패턴을 형성한 후, 클리닝 공정을 실시하는 단계; 상기 다마신 패턴을 포함한 상기 층간 절연막 표면을 따라 배리어 금속층을 형성하는 단계; 상기 배리어 금속층의 표면에 생성된 계면 불순물층을 제거하기 위하여 플라즈마 처리하는 단계; 화학기상증착법으로 상기 다마신 패턴을 구리로 매립시켜 구리층을 형성하는 단계; 및 상기 구리층을 수소환원 열처리한 후에 화학적 기계적 연마 처리하여 구리 금속 배선을 형성하는 단계를 포함하여 이루어지는 것을 특징으로 한다.
도 1a 내지 도 1d는 본 발명의 실시예에 따른 반도체 소자의 금속 배선 형성 방법을 설명하기 위한 소자의 단면도.
〈도면의 주요 부분에 대한 부호의 설명〉
11: 기판 12: 하지층
13: 층간 절연막 14: 다마신 패턴
15: 배리어 금속층 16: 계면 불순물층
17: 구리층 170: 구리 금속 배선
이하, 본 발명을 첨부된 도면을 참조하여 상세히 설명하기로 한다.
도 1a 내지 도 1d는 본 발명의 실시예에 따른 반도체 소자의 금속 배선 형성 방법을 설명하기 위한 소자의 단면도이다.
도 1a를 참조하면, 반도체 소자를 형성하기 위한 여러 요소가 형성된 기판(11) 상에 하지층(12)을 형성한다. 하지층(12)을 포함한 전체 구조상에 층간 절연막(13)을 형성한 후, 다마신 식각(damascene etch) 공정으로 비아 콘택홀 및 트렌치로 이루어진 다마신 패턴(14)을 형성한다. 클리닝(cleaning) 공정을 실시한 후, 다마신 패턴(14)을 포함한 층간 절연막(13) 표면을 따라 배리어 금속층(16)을 형성한다. 배리어 금속층(16)의 표면에는 공정 및 외부 요인에 의해 산화물(oxide), 질화물(nitride) 등과 같은 불순물로 된 계면 불순물층(16)이 생성된다.
상기에서, 하지층(12)은 폴리실리콘 구조, 폴리사이드 구조, W, A1, Cu,등과 같은 금속 구조로 된 전도성 패턴이다. 층간 절연막(13)은 낮은 유전 상수를 갖는 유전체물질을 이용하여 형성된다. 다마신 패턴(14)을 형성한 후에 실시하는 클리닝 공정은 하지층(12)이 W, A1등의 금속일 경우에는 고주파 플라즈마(RF Plasma)를 이용하며, 하지층(12)이 Cu일 경우에는 반응성 클리닝(reactive cleaning) 방법을 적용한다. 배리어 금속층(15)은 이온화(ionized) PVD, CVD, MOCVD TiN 박막이나, 이온화(ionized) PVD Ta, TaN, CVD Ta, TaN, WN 박막중 어느 하나로 형성한다. 계면 불순물층(16)은 화학기상증착법으로 구리층을 증착할 때, 구리층의 접착 특성을 저하시키는 것으로 알려져 있다.
도 1b를 참조하면, 후에 실시될 화학기상증착법에 의한 구리층 형성시에 구리층의 접착 특성을 개선하기 위하여, 계면 불순물층(16)을 플라즈마 처리 방법으로 제거한다.
상기에서 플라즈마 처리 방법은 리모트 플라즈마(Remote Plasma) 처리 방법, 챔버 플라즈마(Chamber Plasma) 처리 방법, 리모트 플라즈마 처리와 챔버 플라즈마 처리를 병행하는 방법중 어느 하나를 적용하는데, 그 조건은 다음과 같다.
첫째, 리모트 플라즈마 처리 방법은 리모트 플라즈마의 전력(power)을 50 내지 700W의 범위로 하고, 사용 가스를 수소(H2), 질소(N2), 아르곤(Ar), 헬륨(He) 중 적어도 어느 하나를 사용하되, 그 유량을 50 내지 500 sccm으로 유지하며, 웨이퍼 온도를 150 내지 350℃로 유지하고, 웨이퍼와 샤워 헤드의 간격을 20 내지 50mm로 하며, 챔버 압력을 0.3 내지 2 Torr로 하여 10초-10분 동안 처리 한다.
사용 가스로 혼합 가스를 사용할 경우 아르곤 5 내지 95% 및 수소 5 내지 95%로 하여 이용하여 스퍼터링(sputtering)하는 방법을 포함한다.
한편, 리모트 플라즈마 처리 방법은 단일 스텝 및 다단계 스텝의 이용이 가능한데, 단일 스텝을 이용하는 경우는 사용 가스로 단일 가스 및 혼합 가스의 사용이 가능하며, 다단계 스텝을 이용하는 경우는 먼저 아르곤 단일 가스를 이용하거나 혼합 가스를 이용하여 처리한 후, 수소 가스를 이용하여 최종 처리를 하는 주기를 1 내지 10회 반복하는 과정을 포함한다.
둘째, 챔버 플라즈마 처리 방법은 챔버 플라즈마 전력을 50 내지 700W의 범위로 하고, 사용 가스를 수소(H2), 질소(N2), 아르곤(Ar), 헬륨(He) 중 적어도 어느 하나를 사용하되, 그 유량을 50 내지 500 sccm으로 유지하며, 웨이퍼 온도를 150 내지 350℃로 유지하고, 웨이퍼와 샤워 헤드의 간격을 20 내지 50mm로 하며, 챔버 압력을 0.3 내지 2 Torr로 하여 10초-10분 동안 처리 한다.
사용 가스로 혼합 가스를 사용할 경우 아르곤 5 내지 95% 및 수소 5 내지 95%로 하여 이용하여 스퍼터링(sputtering)하는 방법을 포함한다.
셋째, 챔버 플라즈마 처리 및 리모트 플라즈마 처리를 병행하는 방법은 먼저 챔버 플라즈마 처리를 한 후에 리모트 플라즈마 처리를 시행하는데, 단일 스텝 및 다단계 스텝의 이용이 가능하다. 이때, 챔버 플라즈마 처리 및 리모트 플라즈마 처리 각각의 조건은 전술한 각 플라즈마 처리 조건과 같다.
도 1c를 참조하면, 플라즈마 처리하여 계면 불순물층(16)을 제거한 후, 화학기상증착법으로 다마신 패턴(14)을 구리로 매립시켜 구리층(17)을 형성한다.
상기에서, 구리 매립은 (hfac)CuVTMOS계열, (hfac)CuTMVS계열, (hfac)CuDMB계열과 같은 hfac를 이용한 모든 종류의 구리 전구체를 이용하여 DLI(Direct Liquid Injection), CEM, 오리피스(Orifice)와 스프레이(Spray) 방식의 모든 기화기(vaporizer)에 적용이 가능하며, 이를 이용한 금속 유기 화학기상증착(MOCVD)법으로 구리 증착을 한다.
금속 유기 화학기상증착법에 의한 증착 조건은 구리 전구체를 0.1 내지 5.0 sccm의 유량비로 유지하고, 캐리어 가스를 수소(H2), 아르곤(Ar), 헬륨(He) 중 적어도 어느 하나를 사용하되, 그 유량을 100 내지 700 sccm으로 유지하며, 반응챔버의 온도를 기화기의 온도와 같게 유지하며, 샤워 헤드의 온도가 일정하게 유지 되도록 하고, 증착 온도를 150 내지 300℃로 유지하며, 서셉터 플레이트(susceptor)와 샤워 헤드의 간격을 20 내지 50mm로 하며, 챔버 압력을 0.5 내지 5 Torr로한다.
도 1d를 참조하면, 구리층(17)을 수소환원 열처리한 후에 화학적 기계적 연마(CMP) 처리 및 후 세정(post-cleaning)을 실시하여 다마신 패턴(14) 내에 구리 금속 배선(170)을 형성한다.
상기에서, 수소환원 열처리는 수소 환원분위기에서 상온 내지 450℃의 온도에서 1분 내지 3시간 열처리를 하여 구리층(17)의 그레인 조직(grain morphology)을 바꾸는 과정인데, 이때의 수소 환원분위기는 수소(H2) 만을 사용하거나, H2+Ar(0-95%), H2+N2(0-95%)등과 같은 수소 혼합기체를 사용한다.
상기한 본 발명의 실시예는 물리기상증착법이나 전기도금(Electroplating)법으로 매립하기 어려운 초 미세 배선 구조에 구리를 화학기상증착(CVD)법으로 매립할 때, 단일 화학기상증착 챔버(CVD chamber)에서 구리층의 접착 특성을 개선하기 위하여, 리모트 플라즈마 장치를 이용하여 화학기상증착법으로 구리층을 증착하기 전에 배리어 금속층의 표면에 계면 불순물층을 제거하는 플라즈마 처리하는 것이다. 플라즈마 처리는 리모트 플라즈마 및/또는 챔버 플라즈마 처리 방법을 적용한다.
상술한 바와 같이, 본 발명은 물리기상증착법이나 전기도금법으로 매립하기 어려운 초 미세 배선 구조에 구리를 화학기상증착법으로 매립할 때 발생되는 구리층의 접착 특성 문제를 개선시키므로, 기존의 물리기상증착법으로 구리 시드층(seed layer) 형성 공정을 생략할 수 있고, 화학기상증착법으로만 구리 금속 배선을 형성가능하게 하여 공정을 용이하게 할 수 있다.

Claims (14)

  1. 하지층이 형성된 기판 상에 층간 절연막을 형성하는 단계;
    상기 층간 절연막에 다마신 패턴을 형성한 후, 클리닝 공정을 실시하는 단계;
    상기 다마신 패턴을 포함한 상기 층간 절연막 표면을 따라 배리어 금속층을 형성하는 단계;
    상기 배리어 금속층의 표면에 생성된 계면 불순물층을 제거하기 위하여 플라즈마 처리하는 단계;
    화학기상증착법으로 상기 다마신 패턴을 구리로 매립시켜 구리층을 형성하는 단계; 및
    상기 구리층을 수소환원 열처리한 후에 화학적 기계적 연마 처리하여 구리 금속 배선을 형성하는 단계를 포함하여 이루어지는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  2. 제 1 항에 있어서,
    상기 하지층은 폴리실리콘 구조, 폴리사이드 구조, W, A1, Cu과 같은 금속 구조로 된 전도성 패턴인 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  3. 제 1 항에 있어서,
    상기 층간 절연막은 낮은 유전 상수를 갖는 유전체물질을 이용하여 형성하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  4. 제 1 항에 있어서,
    상기 클리닝 공정은 상기 하지층이 W, A1과 같은 금속일 경우에는 고주파 플라즈마를 이용하며, 상기 하지층이 Cu일 경우에는 반응성 클리닝 방법을 적용하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  5. 제 1 항에 있어서,]
    상기 배리어 금속층은 이온화 PVD, CVD, MOCVD TiN 박막이나, 이온화 PVD Ta, TaN, CVD Ta, TaN, WN 박막중 어느 하나로 형성하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  6. 제 1 항에 있어서,
    상기 플라즈마 처리는 리모트 플라즈마 처리 방법, 챔버 플라즈마 처리 방법, 리모트 플라즈마 처리와 챔버 플라즈마 처리를 병행하는 방법중 어느 하나를 적용하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  7. 제 6 항에 있어서,
    상기 리모트 플라즈마 처리 방법은 리모트 플라즈마의 전력을 50 내지 700W의 범위로 하고, 사용 가스를 수소(H2), 질소(N2), 아르곤(Ar), 헬륨(He) 중 적어도 어느 하나를 사용하되, 그 유량을 50 내지 500 sccm으로 유지하며, 웨이퍼 온도를 150 내지 350℃로 유지하고, 웨이퍼와 샤워 헤드의 간격을 20 내지 50mm로 하며, 챔버 압력을 0.3 내지 2 Torr로 하여 10초-10분 동안 처리하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  8. 제 6 항에 있어서,
    상기 리모트 플라즈마 처리 방법은 사용 가스로 단일 가스 및 혼합 가스를 사용하여 단일 스텝으로 실시하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  9. 제 6 항에 있어서,
    상기 리모트 플라즈마 처리 방법은 아르곤 단일 가스를 이용하거나 혼합 가스를 이용하여 처리한 후, 수소 가스를 이용하여 최종 처리를 하는 주기를 1 내지 10회 반복하는 다단계 스텝으로 실시하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  10. 제 6 항에 있어서,
    상기 챔버 플라즈마 처리 방법은 챔버 플라즈마 전력을 50 내지 700W의 범위로 하고, 사용 가스를 수소(H2), 질소(N2), 아르곤(Ar), 헬륨(He) 중 적어도 어느 하나를 사용하되, 그 유량을 50 내지 500 sccm으로 유지하며, 웨이퍼 온도를 150 내지 350℃로 유지하고, 웨이퍼와 샤워 헤드의 간격을 20 내지 50mm로 하며, 챔버 압력을 0.3 내지 2 Torr로 하여 10초-10분 동안 처리하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  11. 제 6 항에 있어서,
    상기 챔버 플라즈마 처리 및 리모트 플라즈마 처리를 병행하는 방법은 챔버 플라즈마 처리를 한 후에 리모트 플라즈마 처리를 하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  12. 제 1 항에 있어서,
    상기 구리 매립은 (hfac)CuVTMOS계열, (hfac)CuTMVS계열, (hfac)CuDMB계열과 같은 hfac를 이용한 구리 전구체를 이용하여 DLI, CEM, 오리피스와 스프레이 방식의 기화기를 적용하며, 이를 이용한 금속 유기 화학기상증착법으로 구리를 증착하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  13. 제 12 항에 있어서,
    상기 금속 유기 화학기상증착법에 의한 증착 조건은 구리 전구체를 0.1 내지 5.0 sccm의 유량비로 유지하고, 캐리어 가스를 수소(H2), 아르곤(Ar), 헬륨(He) 중 적어도 어느 하나를 사용하되, 그 유량을 100 내지 700 sccm으로 유지하며, 반응 챔버의 온도를 기화기의 온도와 같게 유지하며, 샤워 헤드의 온도가 일정하게 유지 되도록 하고, 증착 온도를 150 내지 300℃로 유지하며, 서셉터 플레이트와 샤워 헤드의 간격을 20 내지 50mm로 하며, 챔버 압력을 0.5 내지 5 Torr로하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  14. 제 1 항에 있어서,
    상기 수소환원 열처리는 수소(H2)나, H2+Ar(0-95%), H2+N2(0-95%)와 같은 수소 혼합기체를 사용하여 상온 내지 450℃의 온도에서 1분 내지 3시간 열처리하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
KR1019990048752A 1999-11-05 1999-11-05 반도체 소자의 금속 배선 형성 방법 KR100341849B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1019990048752A KR100341849B1 (ko) 1999-11-05 1999-11-05 반도체 소자의 금속 배선 형성 방법
TW89123263A TW486746B (en) 1999-11-05 2000-11-04 Method of forming a metal wiring in a semiconductor device
JP2000337605A JP2001144094A (ja) 1999-11-05 2000-11-06 半導体素子の金属配線形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990048752A KR100341849B1 (ko) 1999-11-05 1999-11-05 반도체 소자의 금속 배선 형성 방법

Publications (2)

Publication Number Publication Date
KR20010045454A true KR20010045454A (ko) 2001-06-05
KR100341849B1 KR100341849B1 (ko) 2002-06-26

Family

ID=19618644

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990048752A KR100341849B1 (ko) 1999-11-05 1999-11-05 반도체 소자의 금속 배선 형성 방법

Country Status (3)

Country Link
JP (1) JP2001144094A (ko)
KR (1) KR100341849B1 (ko)
TW (1) TW486746B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030051014A (ko) * 2001-12-20 2003-06-25 동부전자 주식회사 반도체 소자의 비아 콘택 제조 방법
KR100445551B1 (ko) * 2001-12-21 2004-08-25 동부전자 주식회사 반도체 소자 제조공정의 금속 산화물 제거방법
KR100723253B1 (ko) * 2005-12-29 2007-05-29 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 형성 방법
US7732304B2 (en) 2007-06-25 2010-06-08 Dongbu Hitek Co., Ltd. Method of manufacturing semiconductor device
KR20110098683A (ko) * 2010-02-26 2011-09-01 도쿄엘렉트론가부시키가이샤 산화 표면층의 하이브리드 인시츄 건식 세정
US8288275B2 (en) 2007-11-15 2012-10-16 Samsung Electronics Co., Ltd. Method forming contact plug for semiconductor device using H2 remote plasma treatment
KR101366367B1 (ko) * 2013-01-25 2014-02-24 박종익 전력용 반도체 모듈의 구리층 형성방법

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
KR101089249B1 (ko) * 2003-09-22 2011-12-05 매그나칩 반도체 유한회사 반도체 소자 및 그 제조 방법

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3287042B2 (ja) * 1993-01-26 2002-05-27 日本電信電話株式会社 半導体装置の製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030051014A (ko) * 2001-12-20 2003-06-25 동부전자 주식회사 반도체 소자의 비아 콘택 제조 방법
KR100445551B1 (ko) * 2001-12-21 2004-08-25 동부전자 주식회사 반도체 소자 제조공정의 금속 산화물 제거방법
KR100723253B1 (ko) * 2005-12-29 2007-05-29 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 형성 방법
US7732304B2 (en) 2007-06-25 2010-06-08 Dongbu Hitek Co., Ltd. Method of manufacturing semiconductor device
US8288275B2 (en) 2007-11-15 2012-10-16 Samsung Electronics Co., Ltd. Method forming contact plug for semiconductor device using H2 remote plasma treatment
KR20110098683A (ko) * 2010-02-26 2011-09-01 도쿄엘렉트론가부시키가이샤 산화 표면층의 하이브리드 인시츄 건식 세정
KR101366367B1 (ko) * 2013-01-25 2014-02-24 박종익 전력용 반도체 모듈의 구리층 형성방법

Also Published As

Publication number Publication date
JP2001144094A (ja) 2001-05-25
TW486746B (en) 2002-05-11
KR100341849B1 (ko) 2002-06-26

Similar Documents

Publication Publication Date Title
US6605549B2 (en) Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6413864B1 (en) Method of manufacturing a copper metal wiring in a semiconductor device
US8026605B2 (en) Interconnect structure and method of manufacturing a damascene structure
US9076661B2 (en) Methods for manganese nitride integration
US6136690A (en) In situ plasma pre-deposition wafer treatment in chemical vapor deposition technology for semiconductor integrated circuit applications
KR100407680B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100341849B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100383759B1 (ko) 반도체 소자의 구리 금속 배선 형성 방법
JP4790163B2 (ja) 半導体素子の金属配線形成方法
US20020031912A1 (en) Method of manufacturing a copper wiring in a semiconductor device
US20080207006A1 (en) Process for fabricating an integrated circuit
TWI609095B (zh) 用於氮化錳整合之方法
JP4217012B2 (ja) 半導体素子の金属配線形成方法
JP2002057125A (ja) 金属配線形成方法
US6528415B2 (en) Method of forming a metal line in a semiconductor device
KR100612543B1 (ko) 반도체 소자의 구리 금속배선 형성 방법
KR100445411B1 (ko) 반도체소자의금속배선형성방법
KR100406562B1 (ko) 금속배선형성방법
KR100459945B1 (ko) 반도체 소자의 제조 방법
KR100612548B1 (ko) 반도체 소자의 금속 배선 형성 방법
WO2023033901A1 (en) Method of forming a metal liner for interconnect structures
TW518715B (en) Method of forming metal wiring in a semiconductor device
KR19980060642A (ko) 타이타늄질화막 형성방법
KR20040060873A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080527

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee