KR20010011003A - Manufacturing method for semiconductor device - Google Patents

Manufacturing method for semiconductor device Download PDF

Info

Publication number
KR20010011003A
KR20010011003A KR1019990030178A KR19990030178A KR20010011003A KR 20010011003 A KR20010011003 A KR 20010011003A KR 1019990030178 A KR1019990030178 A KR 1019990030178A KR 19990030178 A KR19990030178 A KR 19990030178A KR 20010011003 A KR20010011003 A KR 20010011003A
Authority
KR
South Korea
Prior art keywords
film
forming
manufacturing
sic
contact
Prior art date
Application number
KR1019990030178A
Other languages
Korean (ko)
Other versions
KR100346449B1 (en
Inventor
박성찬
이동덕
Original Assignee
김영환
현대전자산업 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김영환, 현대전자산업 주식회사 filed Critical 김영환
Priority to KR1019990030178A priority Critical patent/KR100346449B1/en
Priority to CN 00107873 priority patent/CN1301938A/en
Publication of KR20010011003A publication Critical patent/KR20010011003A/en
Application granted granted Critical
Publication of KR100346449B1 publication Critical patent/KR100346449B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Abstract

PURPOSE: A method for manufacturing a semiconductor device is provided to prevent parasitic capacitance from high dielectric constant and stress of a wafer and to improve the reliability of device's operation and the yield rate of the process by use of an SiC layer. CONSTITUTION: An oxide layer(11) is formed on a semiconductor(10) and a gate oxide layer(12) is formed on the oxide layer(11). A gate electrode(13), overlapped on the pattern of a mask SiC layer(21), is formed on the gate oxide layer(13). A spacer(22) which consists of an SiC is formed on the gate electrode(13) and the sidewell of the Sic layer. An interlayer dielectric(18) is formed an entire surface of the structure and planized the upper portion.

Description

반도체소자의 제조방법{Manufacturing method for semiconductor device}Manufacturing method for semiconductor device

본 발명은 반도체소자의 제조방법에 관한 것으로, 특히 식각장벽층을 사용하는 자기정렬콘택(self align contact; 이하 SAC라 칭함)에서 식각장벽층으로서 질화막 보다 응력과 유전 상수가 작고, 산화막과의 식각 선택비차가 큰 SiC막으로 사용하여 응력에 의한 불량 발생이나 유전상수로 인한 기생 캐패시턴스를 감소시켜 콘택 형성 공정 마진을 증가시킬 수 있는 반도체소자의 제조방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, in particular, has a lower stress and dielectric constant than an nitride film as an etch barrier layer in a self align contact (hereinafter referred to as SAC) using an etching barrier layer, and an etching with an oxide film. The present invention relates to a method for fabricating a semiconductor device capable of increasing the contact formation process margin by reducing parasitic capacitance caused by stress generation or dielectric constant by using a SiC film having a large selection ratio.

최근의 반도체 장치의 고집적화 추세는 미세 패턴 형성 기술의 발전에 큰 영향을 받고 있으며, 미세 패턴 형성을 위하여는 반도체 장치의 제조 공정 중에서 식각 또는 이온주입 공정 등의 마스크로 매우 폭 넓게 사용되는 감광막 패턴의 미세화가 필수 요건이다.The recent trend of high integration of semiconductor devices has been greatly influenced by the development of fine pattern formation technology. For the formation of fine patterns, photoresist patterns of photoresist patterns, which are widely used as masks such as etching or ion implantation processes, are used in the manufacturing process of semiconductor devices. Micronization is a must.

이러한 감광막 패턴의 분해능(R)은 감광막 자체의 재질이나 기판과의 접착력등과도 밀접한 연관이 있으나, 일차적으로는 사용되는 축소노광장치의 광원 파장(λ) 및 공정 변수(k)에 비례하고, 노광 장치의 렌즈 구경(numerical aperture; NA, 개구수)에 반비례한다.The resolution (R) of the photoresist pattern is closely related to the material of the photoresist itself or the adhesion to the substrate, but is primarily proportional to the light source wavelength (λ) and the process variable (k) of the reduction exposure apparatus used. It is inversely proportional to the lens aperture (NA, numerical aperture) of the device.

[R=k*λ/NA,~R=해상도,~λ=광원의~파장,~NA=개구수~][R = k * λ / NA, ~ R = resolution, ~ λ = wavelength of light source, NA = opening number ~]

여기서 상기 축소노광장치의 광분해능을 향상시키기 위하여 광원의 파장을 감소시키게 되는데, 예를들어 파장이 436 및 365㎚인 G-라인 및 i-라인 축소노광장치는 공정 분해능이 라인/스페이스 패턴의 경우 각각 약 0.7, 0.5㎛ 정도가 한계이고, 0.5㎛ 이하의 미세 패턴을 형성하기 위해서는 이보다 파장이 더 작은 원자외선(deep ultra violet; DUV), 예를들어 파장이 248㎚인 KrF 레이저나 193㎚인 ArF 레이저를 광원으로 사용하는 노광 장치를 이용하여야 한다.Here, the wavelength of the light source is reduced to improve the optical resolution of the reduced exposure apparatus. For example, the G-line and i-line reduced exposure apparatus having wavelengths of 436 and 365 nm have a process resolution of a line / space pattern. The limit is about 0.7 and 0.5 μm, respectively, and in order to form a fine pattern of 0.5 μm or less, deeper ultra violet (DUV), for example, KrF laser having a wavelength of 248 nm or 193 nm An exposure apparatus using an ArF laser as a light source should be used.

또한 축소노광장치와는 별도로 공정 상의 방법으로는 통상의 노광마스크(photo mask) 대신에 위상반전마스크(phase shift mask)로 사용하는 방법이나, 이미지 콘트라스트를 향상시킬 수 있는 별도의 박막을 웨이퍼 상에 형성하는 씨.이.엘(contrast enhancement layer; CEL) 방법이나, 두층의 감광막 사이에 에스.오.지(spin on glass; SOG)등의 중간층을 개재시킨 삼층레지스트(Tri layer resister; 이하 TLR이라 칭함) 방법 또는 감광막의 상측에 선택적으로 실리콘을 주입시키는 실리레이션 방법 등이 개발되어 분해능 한계치를 낮추고 있다.In addition to the reduction exposure apparatus, a process method may be used as a phase shift mask instead of a conventional photo mask, or a separate thin film may be formed on the wafer to improve image contrast. A tri-layer resister (hereinafter referred to as TLR) is formed by interpolating a CEL method or an intermediate layer such as spin on glass (SOG) between two photoresist layers. Method or a silicide method for selectively injecting silicon into the upper side of the photosensitive film has been developed to lower the resolution limit.

더욱이 상하의 도전배선을 연결하는 콘택홀은 상기에서의 라인/스페이스 패턴에 비해 디자인 룰이 더 크게 나타나는데, 소자가 고집적화 되어감에 따라 자체의 크기와 주변배선과의 간격이 감소되고, 콘택홀의 지름과 깊이의 비인 에스팩트비(aspect ratio)가 증가됨에 따라 다층의 도전배선을 구비하는 고집적 반도체소자에서는 콘택을 형성하기 위하여 제조 공정에서의 마스크들간의 정확하고 엄격한 정렬이 요구되어 공정여유도가 감소된다.In addition, the contact hole connecting the upper and lower conductive wirings has a larger design rule than the above line / space pattern. As the device becomes more integrated, the size of the contact hole and the distance between the peripheral wirings are reduced. As the aspect ratio, which is a ratio of depth, increases, highly integrated semiconductor devices having multilayer conductive wirings require accurate and strict alignment between masks in a manufacturing process to form contacts, thereby reducing process margin. .

이러한 콘택 홀은 홀간의 간격 유지를 위하여 마스크 정렬시의 오배열 여유(misalignment tolerance), 노광공정시의 렌즈 왜곡(lens distortion), 마스크 제작 및 사진식각 공정시의 임계크기 변화(critical dimension variation), 마스크간의 정합(registration)등과 같은 요인들을 고려하여 마스크를 형성하여야하므로 더욱 공정마진이 감소되어 소자의 고집적화를 방해한다.These contact holes can be used for misalignment tolerance during mask alignment, lens distortion during exposure, critical dimension variation during mask fabrication and photolithography, Since the mask must be formed in consideration of factors such as registration between the masks, the process margin is further reduced to prevent high integration of the device.

이러한 콘택 홀은 홀간의 간격 유지를 위하여 마스크 정렬시의 오배열 여유(misalignment tolerance), 노광공정시의 렌즈 왜곡(lens distortion), 마스크 제작 및 사진식각 공정시의 임계크기 변화(critical dimension variation), 마스크간의 정합(registration)등과 같은 요인들을 고려하여 마스크를 형성한다.These contact holes can be used for misalignment tolerance during mask alignment, lens distortion during exposure, critical dimension variation during mask fabrication and photolithography, The mask is formed by considering factors such as registration between the masks.

상기와 같은 콘택홀의 형성 방법으로는 직접 식각 방법과, 측벽 스페이서를 사용하는 방법 및 SAC 방법등이 있다.As a method of forming the contact hole as described above, there are a direct etching method, a method using a sidewall spacer, a SAC method, and the like.

또한 콘택홀 형성시 리소그래피(Lithography) 공정의 한계를 극복하기 위하여 고안된 SAC 방법은 식각장벽층으로 사용하는 물질에 따라 다결정실리콘층이나 질화막 또는 산화질화막등을 사용하는 것으로 나눌 수 있으며, 가장 유망한 것으로 질화막을 식각 방어막으로 사용하는 방법이 있다.In addition, the SAC method, which is designed to overcome the limitations of the lithography process in forming contact holes, can be divided into polysilicon layer, nitride film, or oxynitride film, depending on the material used as the etch barrier layer. Can be used as an etch shield.

도1a 및 도1b는 종래 기술에 따른 반도체소자의 제조공정도로서, EMSAC 방법의 예이다.1A and 1B are a manufacturing process diagram of a semiconductor device according to the prior art, which is an example of an EMSAC method.

먼저, 반도체기판(10) 상에 소정의 하부 구조물, 예를들어 활성영역을 정의하는 소자분리 산화막(11)과 게이트 산화막(12), 게이트전극(13) 및 소오스/드레인영역(15)등의 모스 전계효과 트랜지스터(MetalOxideSemiconductor Field Effect Transister; 이하 MOS FET라 칭함)등을 형성한다. 이때 상기 게이트전극(13)의 상부에는 마스크 신화막(14)과 질화막(16) 패턴이 중첩되어 있으며, 그 패턴들의 측벽에는 질화막 스페이서(17)가 형성되어있어, 상기 질화막(16)과 함께 식각 장벽이 된다.First, an element isolation oxide film 11, a gate oxide film 12, a gate electrode 13, a source / drain region 15, and the like that define a predetermined substructure on the semiconductor substrate 10, for example, an active region. A MOS field effect transistor (hereinafter referred to as a MOS FET) is formed. In this case, the mask nitride layer 14 and the nitride layer 16 pattern overlap each other on the gate electrode 13, and the nitride layer spacer 17 is formed on sidewalls of the gate electrode 13, and the nitride layer spacer 17 is etched together with the nitride layer 16. It becomes a barrier.

그후, 상기 구조의 전표면에 층간절연막(18)을 형성하고, 상기 층간절연막(18)의 상부를 화학-기계적 연마(chemical-mechanical polishing ; 이하 CMP라 칭함) 방법으로 평탄화시킨다. (도 1a 참조).Thereafter, an interlayer insulating film 18 is formed on the entire surface of the structure, and the upper portion of the interlayer insulating film 18 is planarized by a chemical-mechanical polishing (hereinafter referred to as CMP) method. (See FIG. 1A).

그다음 상기 반도체기판(10)에서 전하저장전극과 비트라인등과의 콘택으로 예정되어있는 부분상의 층간절연막(18)을 노출시키는 감광막 패턴(19)을 형성한 후, 상기 감광막 패턴(19)에 의해 노출되어있는 층간절연막(18)을 식각하여 질화막(16) 패턴의 상부를 노출시키고, 다시 반도체기판(10)의 콘택으로 예정된 부분을 노출시키는 콘택홀(20)을 형성한다. (도 1b 참조).Thereafter, the semiconductor substrate 10 is formed with a photoresist pattern 19 exposing the interlayer insulating film 18 on a portion intended to be in contact with the charge storage electrode and the bit line, and then exposed by the photoresist pattern 19. The interlayer insulating film 18 is etched to expose the upper portion of the nitride film 16 pattern, and a contact hole 20 is formed to expose a predetermined portion to the contact of the semiconductor substrate 10. (See FIG. 1B).

여기서 상기 도 1b의 상태를 평면으로 살펴보면 도 2와 같은 상태가 되는데, 감광막패턴(19)에 의해 노출되는 부분은 전체적으로 T자 형상을 가지며, 4개의 게이트전극(13)과의 사이에 위치하는 3곳의 반도체기판(10)이 노출된다.Here, when the state of FIG. 1B is viewed as a plane, the state as shown in FIG. 2 is shown. The portion exposed by the photoresist pattern 19 has an overall T-shape, and is positioned between the four gate electrodes 13. The semiconductor substrate 10 is exposed.

그후, 후속 콘택 플러그 형성과 도전배선 및 콘택 공정을 진행하게 된다.Subsequently, subsequent contact plug formation and conductive wiring and contact processes are performed.

상기와 같은 종래 기술에 따른 반도체소자의 제조방법은 질화막을 식각 장벽층으로 이용하게 되는데, 질화막을 전면 증착하게 되면 질화막의 높은 응력에 의해 웨이퍼가 휘거어 후속 공정에서 불량 발생의 원인이 되며, 소자의 전기적 특성을 저하시키고, 질화막은 6∼9 정도의 유전상수를 가지므로 소장 동작중에 기생 캐패시턴스가 증가되어 소자의 빠른 동작을 방해하는 등의 문제점이 있다.In the method of manufacturing a semiconductor device according to the prior art as described above, a nitride film is used as an etching barrier layer. When the nitride film is deposited on the entire surface, the wafer is bent due to the high stress of the nitride film, which causes a defect in a subsequent process. Since the nitride film has a dielectric constant of about 6 to 9, the parasitic capacitance is increased during the small intestine operation, which hinders the fast operation of the device.

본 발명은 상기와 같은 문제점을 해결하기 위한 것으로서, 본 발명의 목적은The present invention is to solve the above problems, the object of the present invention is

SAC 공정에서의 식각장벽층을 질화막에 비해 응력과 유전상수가 작고 산화막에 대한 식각선택비차가 큰 SiC막을 사용하여 응력에 의한 웨이퍼 휨이나 높은 유전상수에 의한 기생 캐패시터 발생을 방지하여 불량을 감소시키고, 콘택 공정 마진을 증가시켜 공정수율 및 소자동작의 신뢰성을 향상시킬 수 있는 반도체소자의 제조방법을 제공함에 있다.The etching barrier layer in the SAC process has a smaller stress and dielectric constant than the nitride film and a SiC film having a large etching selectivity difference for the oxide film, thereby preventing defects by preventing wafer warpage and parasitic capacitor generation due to high dielectric constant. In addition, the present invention provides a method of manufacturing a semiconductor device, which can improve process yield and device operation reliability by increasing a contact process margin.

도 1a 및 도 1b는 종래 기술에 따른 반도체소자의 제조공정도.1A and 1B are manufacturing process diagrams of a semiconductor device according to the prior art.

도 2는 도 1b 공정후의 평면도.2 is a plan view after the process of FIG. 1B;

도 3a 및 도 3b는 본 발명의 일실시예에 따른 반도체소자의 제조공정도.3A and 3B illustrate a manufacturing process of a semiconductor device according to an embodiment of the present invention.

도 4는 도 3b 공정후의 평면도.4 is a plan view after the step 3b;

도 5는 본 발명의 다른 실시예에 따른 반도체소자의 단면도.5 is a sectional view of a semiconductor device according to another embodiment of the present invention.

도 6은 도5 공정후의 평면도.FIG. 6 is a plan view after the FIG. 5 step; FIG.

〈도면의 주요 부분에 대한 부호의 설명〉<Explanation of symbols for main parts of drawing>

10 : 반도체기판 11 : 소자분리 산화막10 semiconductor substrate 11: device isolation oxide film

12 : 게이트 산화막 13 : 게이트전극12 gate oxide film 13 gate electrode

14 : 마스크 신화막 15 : 소오스/드레인영역14 mask myth film 15 source / drain area

16 : 질화막 17 : 질화막 스페이서16 nitride film 17 nitride film spacer

18 : 층간절연막 19 : 감광막 패턴18: interlayer insulating film 19: photosensitive film pattern

20 : 콘택홀 21 ; SiC막20: contact hole 21; SiC film

22 : SiC막 스페이서 24 : 비트라인 콘택홀22 SiC film spacer 24 Bit line contact hole

23 : 비트라인 콘택 마스크용 감광막패턴23 photoresist pattern for bit line contact mask

상기와 같은 목적을 달성하기 위한 본 발명에 따른 반도체소자 제조방법의 특징은,Features of the semiconductor device manufacturing method according to the present invention for achieving the above object,

식각장벽층을 사용하는 SAC 공정을 사용하는 반도체소자의 제조방법에 있어서,In the method of manufacturing a semiconductor device using a SAC process using an etching barrier layer,

반도체기판상에 활성영역을 정의하는 소자분리 산화막을 형성하는 공정과,Forming a device isolation oxide film defining an active region on the semiconductor substrate;

반도체기판상에 게이트산화막을 형성하는 공정과,Forming a gate oxide film on the semiconductor substrate;

상기 게이트산화막상에 상부에 마스크 SiC막 패턴이 중첩되어있는 게이트전극을 형성하는 공정과,Forming a gate electrode on which the mask SiC film pattern is superimposed on the gate oxide film;

상기 게이트전극과 SiC막 패턴의 측벽에 SiC막으로된 스페이서를 형성하는 공정과,Forming a spacer of an SiC film on sidewalls of the gate electrode and the SiC film pattern;

상기 구조의 전표면에 층간절연막을 형성하고 상부를 평탄화시키는 공정과,Forming an interlayer insulating film on the entire surface of the structure and flattening the upper portion;

상기 반도체기판에서 콘택으로 예정되어있는 부분상의 층간절연막을 제거하여 콘택홀을 형성하는 공정을 구비함에 있다.And forming a contact hole by removing the interlayer insulating film on the portion of the semiconductor substrate, which is supposed to be a contact.

이하, 첨부된 도면을 참조하여 본 발명에 따른 반도체소자의 제조방법에 대하여 상세히 설명을 하기로 한다.Hereinafter, a method of manufacturing a semiconductor device according to the present invention will be described in detail with reference to the accompanying drawings.

도 3a 및 도 3b는 본 발명의 일실시예에 따른 반도체소자의 제조공정도로서, SiC막을 식각장벽층으로 사용한 EMSAC 공정의 예이다.3A and 3B are diagrams illustrating a manufacturing process of a semiconductor device according to an embodiment of the present invention, which is an example of an EMSAC process using a SiC film as an etch barrier layer.

먼저, 도 1a와 같은 공정을 순차적으로 진행하여 반도체기판(10) 상에 T자형 활성영역을 정의하는 소자분리 산화막(11)과 게이트 산화막(12), 게이트전극(13) 및 소오스/드레인영역(15)의 MOS FET을 형성한다. 여기서 상기 게이트전극(13)의 상부에는 마스크 신화막(14) 패턴과 SiC막(21) 패턴이 중첩되어있고, 각 패턴들의 측벽에는 SiC막 스페이서(22)가 형성되어있어, 상기 반도체기판(10)에서 콘택으로 예정된 부분을 노출시키며, 상기 마스크 산화막(14)은 형성하지 않을 수도 있고, 상기 SiC막들은 화학기상증착(Chemical Vapor Deposition; 이하 CVD라 칭함)이나 물리기상증착(Physical Vapor Deposition; 이하 PVD라 칭함) 방법으로 형성한다.First, as shown in FIG. 1A, the device isolation oxide film 11, the gate oxide film 12, the gate electrode 13, and the source / drain regions defining the T-shaped active region on the semiconductor substrate 10 may be sequentially processed. The MOS FET of 15) is formed. Here, the mask myth film 14 pattern and the SiC film 21 pattern overlap each other on the gate electrode 13, and the SiC film spacer 22 is formed on the sidewalls of the patterns to form the semiconductor substrate 10. The mask oxide layer 14 may not be formed, and the SiC layers may be formed by chemical vapor deposition (CVD) or physical vapor deposition (hereinafter referred to as CVD). Called PVD).

그다음 CMP 방법으로 상부가 평탄화된 층간절연막(18)을 전면에 형성한다. (도 3a 참조).Then, an interlayer insulating film 18 having its top planarized is formed on the entire surface by the CMP method. (See FIG. 3A).

그후, 상기 반도체기판(10)에서 전하저장전극과 비트라인과의 콘택으로 예정되어있는 부분상의 층간절연막(18)을 활성영역 정의용 마스크를 사용하여 T자 형상으로 노출시키는 감광막 패턴(19)을 층간절연막(18)상에 형성한 후, 상기 감광막 패턴(19)에 의해 노출되어있는 층간절연막(18)을 건식식각하여 반도체기판(10)의 콘택으로 예정된 부분을 노출시키는 콘택홀(20)을 형성한다. (도 3b 참조).Thereafter, the semiconductor substrate 10 has an interlayer photosensitive film pattern 19 exposing the interlayer insulating film 18 on the portion, which is supposed to be a contact between the charge storage electrode and the bit line, in a T-shape using a mask for defining an active region. After the insulating film 18 is formed, the interlayer insulating film 18 exposed by the photosensitive film pattern 19 is dry-etched to form a contact hole 20 exposing a predetermined portion of the semiconductor substrate 10 as a contact. do. (See Figure 3b).

상기 도 3a 상태를 평면에서 살펴보면 도 4에서와 같이, 세부분의 반도체기판(10)이 노출되고, T자 형상으로 감광막패턴(19)이 형성되어 있으며, 식각장벽으로 사용된 SiC막(21) 패턴들을 노출시키는 것을 볼 수 있다.Referring to the state of FIG. 3A, as shown in FIG. 4, the semiconductor substrate 10 of the detail is exposed, the photosensitive film pattern 19 is formed in a T-shape, and the SiC film 21 used as an etch barrier. You can see exposing the patterns.

도 5는 본 발명의 다른 실시예에 따른 반도체소자의 단면도로서, 도 3a의 공정까지 동일하게 진행한 후, 상기 층간절연막(18)상에 비트라인 콘택 마스크용의 감광막패턴(23)을 형성하고, 상기 감광막패턴(23)에 의해 노출되어있는 층간절연막(18)을 식각하여 비트라인으로 예정되어있는 반도체기판(10)을 노출시키는 비트라인 콘택홀(24)을 형성한다.FIG. 5 is a cross-sectional view of a semiconductor device according to another embodiment of the present invention. After the process of FIG. 3A is performed in the same manner, a photosensitive film pattern 23 for a bit line contact mask is formed on the interlayer insulating film 18. The interlayer insulating film 18 exposed by the photosensitive film pattern 23 is etched to form a bit line contact hole 24 exposing the semiconductor substrate 10, which is supposed to be a bit line.

이러한 상태의 평면은 도 6에 도시되어있는 바와 같이 비트라인 콘택으로 예정되어있는 반도체기판(10) 두곳이 노출되고, 그 양측으로 SiC막(21)이 노출되는 원형의 콘택이 형성된다.In this state, as shown in FIG. 6, two semiconductor substrates 10, which are intended as bit line contacts, are exposed, and circular contacts in which the SiC film 21 is exposed on both sides thereof are formed.

상기에서 층간절연막 식각장비로는 HELICAL, HELICON, ECR(electron cyclotron resonance), TCP(transformer coupled plasma), MERIE(mgnetic enhanced reactive ion etching), SWP(syrface wave plasma) 등의 플라즈마 소스를 이용하며, 활성영역 정의를 위한 콘택용 마스크로는 T자형은 물론이고, I자형과 Z자형등 모든 형에 응용할 수 있으며, 본발명의 SiC막은 EMSAC 뿐만아니라 베리드&스페이스 SAC이나 캡핑SAC 공정등에 모두 사용할 수 있다.As the interlayer insulating film etching equipment, plasma sources such as HELICAL, HELICON, electron cyclotron resonance (ECR), transformer coupled plasma (TCP), mgnetic enhanced reactive ion etching (MERIE), and syrup wave plasma (SWP) are used. The contact mask for defining the area can be applied to all types such as T-shape, I-shape and Z-shape, and the SiC film of the present invention can be used not only for EMSAC but also for buried & space SAC or capping SAC process. .

이상에서 설명한 바와 같이 본 발명에 따른 반도체소자의 제조방법은, 식각장벽층을 사용하는 SAC 공정에서 응력과 유전상수가 질화막에 비해 상대적으로 적은 SiC막을 식각장벽층으로 사용하였으므로, SiC막의 전면 증착시에 응력에 의한 웨이퍼 휘어짐등의 불량이 발생되지않으며, 유전상수가 작이 소자 동작시의 기생 캐패시턴스가 작아 소자의 빠른 동작을 방해하지 않고, 산화막과의 식각선택비차가 커서 콘택홀식각 공정 마진이 증가되어 공정수율 및 소자동작의 신뢰성을 향상시킬 수 있는 이점이 있다.As described above, the semiconductor device manufacturing method according to the present invention uses a SiC film having a relatively low stress and dielectric constant as the etch barrier layer in the SAC process using the etch barrier layer. No defects such as wafer warpage due to stress, and low dielectric constant, low parasitic capacitance during operation of the device, does not interfere with the fast operation of the device, and the etching selectivity difference with the oxide film is large, resulting in a contact hole etching process margin. There is an advantage that can be increased to improve the process yield and the reliability of device operation.

Claims (7)

식각장벽층을 사용하는 자기정렬콘택 공정을 사용하는 반도체소자의 제조방법에 있어서,In the method of manufacturing a semiconductor device using a self-aligned contact process using an etching barrier layer, 반도체기판상에 활성영역을 정의하는 소자분리 산화막을 형성하는 공정과,Forming a device isolation oxide film defining an active region on the semiconductor substrate; 반도체기판상에 게이트산화막을 형성하는 공정과,Forming a gate oxide film on the semiconductor substrate; 상기 게이트산화막상에 상부에 마스크 SiC막 패턴이 중첩되어있는 게이트전극을 형성하는 공정과,Forming a gate electrode on which the mask SiC film pattern is superimposed on the gate oxide film; 상기 게이트전극과 SiC막 패턴의 측벽에 SiC막으로된 스페이서를 형성하는 공정과,Forming a spacer of an SiC film on sidewalls of the gate electrode and the SiC film pattern; 상기 구조의 전표면에 층간절연막을 형성하고 상부를 평탄화시키는 공정과,Forming an interlayer insulating film on the entire surface of the structure and flattening the upper portion; 상기 반도체기판에서 콘택으로 예정되어있는 부분상의 층간절연막을 제거하여 콘택홀을 형성하는 공정을 구비하는 반도체소자의 제조방법.And forming a contact hole by removing the interlayer insulating film on the portion of the semiconductor substrate which is supposed to be a contact. 제 1 항에 있어서,The method of claim 1, 상기 게이트전극과 마스크 SiC막 패턴의 사이에 마스크 산화막 패턴을 개재시켜 형성하는 것을 특징으로하는 반도체소자의 제조방법.And forming a mask oxide film pattern between the gate electrode and the mask SiC film pattern. 제 1 항에 있어서,The method of claim 1, 상기 SiC막막과 SiC막 스페이서를 CVD 또는 PVD 방법으로 형성하는 것을 특징으로하는 반도체소자의 제조방법.The method of manufacturing a semiconductor device, wherein the SiC film and the SiC film spacer are formed by CVD or PVD. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막을 산화막 재질로 형성하고, 상부를 CMP 방법으로 평탄화시키는 것을 특징으로하는 반도체소자의 제조방법.The interlayer insulating film is formed of an oxide film, and the upper part is planarized by a CMP method. 제 1 항에 있어서,The method of claim 1, 상기 콘택 식각 공정시 사용되는 감광막패턴에 의해 노출되는 층간절연막이 T자형, I자형, Z자형 또는 홀형중 어느하나인 것을 특징으로하는 반도체소자의 제조방법.A method of manufacturing a semiconductor device, characterized in that the interlayer insulating film exposed by the photoresist pattern used in the contact etching process is any one of a T-shape, an I-shape, a Z-shape, or a hole. 제 1 항에 있어서,The method of claim 1, 상기 콘택홀 식각 공정을 HELICAL, HELICON, ECR, TCP, MERIE 또는 SWP 중 어느하나의 장비로 실시하는 것을 특징으로하는 반도체소자의 제조방법.And manufacturing the contact hole etching process using any one of HELICAL, HELICON, ECR, TCP, MERIE, or SWP. 제 1 항에 있어서,The method of claim 1, 상기 콘택 식각 공정이 EMSAC이나 베리드&스페이스 SAC 또는 캡핑SAC 공정중 하나인 것을 특징으로하는 반도체소자의 제조방법.Wherein the contact etching process is one of an EMSAC, a buried & space SAC, or a capping SAC process.
KR1019990030178A 1999-07-24 1999-07-24 Manufacturing method for semiconductor device KR100346449B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1019990030178A KR100346449B1 (en) 1999-07-24 1999-07-24 Manufacturing method for semiconductor device
CN 00107873 CN1301938A (en) 1999-07-24 2000-06-28 Indoor assembly for air conditioner

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990030178A KR100346449B1 (en) 1999-07-24 1999-07-24 Manufacturing method for semiconductor device

Publications (2)

Publication Number Publication Date
KR20010011003A true KR20010011003A (en) 2001-02-15
KR100346449B1 KR100346449B1 (en) 2002-07-27

Family

ID=19604319

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990030178A KR100346449B1 (en) 1999-07-24 1999-07-24 Manufacturing method for semiconductor device

Country Status (2)

Country Link
KR (1) KR100346449B1 (en)
CN (1) CN1301938A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101164688B1 (en) * 2005-01-10 2012-07-11 어플라이드 머티어리얼스, 인코포레이티드 Method for producing gate stack sidewall spacers
KR20180066940A (en) * 2016-12-09 2018-06-20 삼성전자주식회사 Method for manufacturing semiconductor device

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100412451C (en) * 2004-06-21 2008-08-20 乐金电子(天津)电器有限公司 Indoor device of air conditioner

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5166096A (en) * 1991-10-29 1992-11-24 International Business Machines Corporation Process for fabricating self-aligned contact studs for semiconductor structures
US6136700A (en) * 1996-12-20 2000-10-24 Texas Instruments Incorporated Method for enhancing the performance of a contact
JP3383807B2 (en) * 1997-05-16 2003-03-10 松下電器産業株式会社 Method for manufacturing semiconductor device
KR20010064076A (en) * 1999-12-24 2001-07-09 박종섭 A method for forming electrode in semiconductor device
KR100585084B1 (en) * 2000-04-15 2006-05-30 삼성전자주식회사 Self-align contact etch method of semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101164688B1 (en) * 2005-01-10 2012-07-11 어플라이드 머티어리얼스, 인코포레이티드 Method for producing gate stack sidewall spacers
KR20180066940A (en) * 2016-12-09 2018-06-20 삼성전자주식회사 Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
KR100346449B1 (en) 2002-07-27
CN1301938A (en) 2001-07-04

Similar Documents

Publication Publication Date Title
KR100535030B1 (en) Fabricating method for semiconductor device
KR100350764B1 (en) Manufacturing method of semiconductor device
KR100527577B1 (en) Fabricating method for semiconductor device
KR100346449B1 (en) Manufacturing method for semiconductor device
KR100307558B1 (en) Manufacturing method of semiconductor device
KR100307560B1 (en) Manufacturing method of semiconductor device
KR20000045485A (en) Method for manufacturing semiconductor device
KR20050052581A (en) Manufacturing method for semicondutor device
KR100261682B1 (en) Method for fabricating semiconductor device
KR100334534B1 (en) Manufacturing method for semiconductor device
KR100337204B1 (en) Method of Forming Semiconductor Device
KR100329617B1 (en) Manufacturing method for semiconductor device
KR100333548B1 (en) Fabricating method for semiconductor device
KR100434961B1 (en) Method of forming contact hole of semiconductor device using nitride pattern formed on only gate electrode as etch stop layer
KR100304440B1 (en) Manufacturing method of semiconductor device
KR100465604B1 (en) Manufacturing method of semiconductor device
KR20000027639A (en) Method for manufacturing contact plug of semiconductor devices
KR100482997B1 (en) Manufacturing method for semiconductor device
KR20010001376A (en) Manufacturing method for semiconductor device
KR20040102720A (en) Manufacturing method for semiconductor device
KR20020002013A (en) Manufacturing method for semiconductor device
KR20020002009A (en) Manufacturing method for semiconductor device
KR20020052460A (en) Manufacturing method for semiconductor device
KR20000027790A (en) Method of manufacturing a semiconductor device
KR19990004610A (en) Contact manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20100624

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee