KR20000059512A - 집적회로의 테스트스캔 회로 - Google Patents

집적회로의 테스트스캔 회로 Download PDF

Info

Publication number
KR20000059512A
KR20000059512A KR1019990007156A KR19990007156A KR20000059512A KR 20000059512 A KR20000059512 A KR 20000059512A KR 1019990007156 A KR1019990007156 A KR 1019990007156A KR 19990007156 A KR19990007156 A KR 19990007156A KR 20000059512 A KR20000059512 A KR 20000059512A
Authority
KR
South Korea
Prior art keywords
scan
test
clock
input
patterns
Prior art date
Application number
KR1019990007156A
Other languages
English (en)
Inventor
유대영
Original Assignee
김영환
현대반도체 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김영환, 현대반도체 주식회사 filed Critical 김영환
Priority to KR1019990007156A priority Critical patent/KR20000059512A/ko
Publication of KR20000059512A publication Critical patent/KR20000059512A/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

본 발명은 집적회로의 테스트스캔 장치에 관한 것으로서 테스트 모드를 셋팅신호를 입력받는 데스트인에이블단자(test_enable)와 스캔입력단자(Scan-in)를 통하여 스캔패턴을 입력받는 입력받아 N개의 스캔패턴(scan pattern1∼scan pattern)을 출력하는 스캔데이타발생수단과, 상기 데스트인에이블단자(test_enable)와 N개의 스캔패턴(scan pattern1∼scan pattern)을 각각 입력받아 N개의 스캔출력단자(Scan-out1∼Scan-outN)로 각각 출력하는 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)으로 구성된다. 상기 스캔데이타발생수단은 N-1 개의 플립플롭과 N-bit 레지스터를 가지고 스캔체인의 수에 관계없이 스캔패턴을 입력받는 스캔입력단자를 하나만을 가지는 이점을 가진다.

Description

집적회로의 테스트스캔 회로{Integrated circuit of Test scan system}
본 발명은 집적회로의 테스트스캔 회로에 관한 것으로서, 특히 하나의 스캔입력을 이용하여 다수개의 스캔체인을 효율적으로 구성할 수 있는 집적회로의 테스트스캔 회로에 관한 것이다.
도 1은 종래의 집적회로의 테스트스캔 회로의 회로도이다.
상기 종래의 집적회로의 테스트스캔 회로(10)는 데스트인에이블단자(test_enable)를 통하여 입력되는 테스트 모드 셋팅신호와 N개의 스캔입력단자(Scan-in1∼Scan-inN)를 통하여 입력되는 N개의 스캔패턴과 테스트_클럭(test_clock)을 각각 입력받아 입력된 스캔패턴을 N개의 스캔출력단자(Scan-out1∼Scan-outN)로 각각 출력하는 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)으로 구성된다.
상기 각각의 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)은 집적회로내부의 수많은 멀티플렉스 플립플롭(multiplex flip-flop)들이 데스트인에이블단자(test_enable)에 의하여 1/N개로 나뉜 플립플롭이 체인형태로 구성된다.
상기 종래의 집적회로의 테스트스캔 회로(10)는 다음과 같이 동작한다.
테스트 모드 셋팅신호가 인가되어 N개의 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)을 테스트 모드로 셋팅한다.
이후 테스트_클럭(test_clock)이 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)의 멀티플렉스 플립플롭(multiplex flip-flop)의 수 만큼의 인가되어 쉬프트 동작(shift operation)을 한다. 이때 N개의 스캔입력단자(Scan-in1∼Scan-inN)를 통하여 입력된 스캔패턴은 상기 각각의 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)에 세팅된다.
이후 테스트_클럭(test_clock)이 인가되어 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)의 모든 멀티플렉스 플립플롭(multiplex flip-flop)은 입력된 각각의 스캔패턴이 저장된다.
이후 테스트_클럭(test_clock)이 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)의 멀티플렉스 플립플롭(multiplex flip-flop)의 수 만큼의 인가되어 상기 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)저장된 스캔패턴은 N개의 스캔출력단자(Scan-out1∼Scan-outN)로 각각 출력된다.
또한 상기 스캔패턴이 출력되는 동안 또 다른 스캔패턴이 N개의 스캔입력단자(Scan-in1∼Scan-inN)를 통하여 입력되어 상기 각각의 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)에 세팅된다.
상기 출력되는 스캔패턴은 외부의 장치를 통하여 미리 가지고 있던 결과 값과 비교된다.
상기 동작은 연속적으로 일어난다.
그러나, 종래의 집적회로의 테스트스캔 회로는 집적회로의 테스트 시간을 단축하기 위하여 스캔체인(Scan-chain)의 수를 증가시킬 경우 테스트시간은 1/스캔체인(Scan-chain)의 수만큼 줄어들지만 별도의 스캔입력단자(Scan-in)를 추가로 확보해야하는 문제점을 가진다.
따라서, 본 발명의 목적은 상술한 종래 회로의 문제점을 해결하기 위하여 안출된 것으로서, 스캔체인(Scan-chain)의 수에 관계없이 한 개의 스캔입력단자(Scan-in)를 가지고도 동일한 동작이 가능한 집적회로의 테스트스캔 회로를 제공하는데 있다.
상기 목적을 달성하기 위한 본 발명에 따른 집적회로의 테스트스캔 회로는 데스트인에이블단자(test_enable)를 통하여 입력되는 테스트 모드 셋팅신호와 테스트_클럭(test_clock)과 상기 테스트_클럭(test_clock)보다 N배의 빠른 주기를 가지는 클럭(N_bit_clock) 및 하나의 스캔입력단자(Scan-in)를 통하여 입력되는 스캔패턴을 입력받아 N개의 스캔패턴단자(scan pattern1∼scan pattern)를 출력하는 스캔데이타발생수단과, 상기 테스트 모드 셋팅신호와 테스트_클럭(test_clock)과 N개의 스캔패턴(scan pattern1∼scan pattern)을 각각 입력받아 N개의 스캔출력단자(Scan-out1∼Scan-outN)로 각각 출력하는 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)으로 구성된다. 상기 스캔데이타발생수단은 N-1 개의 플립플롭과 N-bit 레지스터를 가지고 구성된다.
도 1은 종래의 집적회로의 테스트스캔 회로의 회로도
도 2는 본 발명에 따른 집적회로의 테스트스캔 회로의 회로도
도 3은 도 2의 스캔데이타발생수단의 구체 회로도
* 도면의 주요 부분에 대한 부호의 설명 *
10 : 종래의 집적회로의 테스트스캔 회로
100 : 본 발명에 따른 집적회로의 테스트스캔 회로
110 : 스캔데이타발생수단111 : N-bit 레지스터
이하, 도면을 참고하여 본 발명에 따른 집적회로의 테스트스캔 회로를 상세히 설명한다.
도 2는 본 발명에 따른 집적회로의 테스트스캔 회로의 회로도이다.
본 발명에 따른 집적회로의 테스트스캔 회로(100)는 데스트인에이블단자(test_enable)를 통하여 입력되는 테스트 모드 셋팅신호와 테스트_클럭(test_clock)과 상기 테스트_클럭(test_clock)보다 N배의 빠른 주기를 가지는 클럭(N_bit_clock) 및 하나의 스캔입력단자(Scan-in)를 통하여 입력되는 스캔패턴을 입력받아 N개의 스캔패턴단자(scan pattern1∼scan pattern)를 출력하는 스캔데이타발생수단(110)과, 상기 테스트 모드 셋팅신호와 테스트_클럭(test_clock)과 N개의 스캔패턴(scan pattern1∼scan pattern)을 각각 입력받아 N개의 스캔출력단자(Scan-out1∼Scan-outN)로 각각 출력하는 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)으로 구성된다.
도 3은 도 2의 스캔데이타 발생수단의 구체 회로도이다.
상기 스캔데이타발생수단(110)은 테스트_클럭(test_clock)보다 N배의 빠른 주기를 가지는 클럭(N_bit_clock)을 입력받고 상기 스캔입력단자(Scan-in)를 통하여 입력되는 스캔패턴이 순차적으로 입출력되는 N-1 개의 플립플롭(FF1∼FFn-1)과, 상기 입력되는 스캔패턴을 N-1 개의 플립플롭(FF1∼FFn-1)의 입력단과 출력 단을 통하여 입력받고 상기 테스트 모드 셋팅신호와 테스트_클럭(test_clock)에 따라 N개의 스캔패턴(scan pattern1∼scan pattern)을 출력하는 N-bit 레지스터(111)로 구성된다.
상기 본 발명에 따른 집적회로의 테스트스캔 회로는 다음과 같이 동작한다.
테스트 모드 셋팅신호가 인가되어 N개의 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)을 테스트 모드로 셋팅한다.
상기 스캔데이타발생수단(110)은 테스트_클럭(test_clock)보다 N배의 빠른 주기를 가지는 클럭(N_bit_clock)에 따라 스캔입력단자(Scan-in)를 통하여 순차적으로 입력되는 스캔패턴이 N-1 개의 플립플롭(FF1∼FFn-1)을 거쳐 N-bit 레지스터(111)에 저장된 후 테스트_클럭(test_clock)이 인가되어 상기 N-bit 레지스터(111)에 저장된 N개의 스캔패턴(scan pattern1∼scan pattern)을 출력한다.
상기 테스트_클럭(test_clock)이 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)의 멀티플렉스 플립플롭(multiplex flip-flop)의 수 만큼의 인가되어 상기 스캔데이타발생수단(110)에서 출력되는 N개의 스캔패턴(scan pattern1∼scan pattern)이 상기 각각의 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)에 세팅된다.
이후 테스트_클럭(test_clock)이 인가되어 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)의 모든 멀티플렉스 플립플롭(multiplex flip-flop)은 입력된 각각의 스캔패턴이 저장된다.
이후 테스트_클럭(test_clock)이 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)의 멀티플렉스 플립플롭(multiplex flip-flop)의 수 만큼의 인가되어 상기 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)저장된 스캔패턴은 N개의 스캔출력단자(Scan-out1∼Scan-outN)로 각각 출력된다.
또한 상기 스캔패턴이 출력되는 동안 또 다른 스캔패턴이 N개의 스캔입력단자(Scan-in1∼Scan-inN)를 통하여 입력되어 상기 각각의 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)에 세팅된다.
상기 출력되는 스캔패턴은 외부의 장치를 통하여 미리 가지고 있던 결과 값과 비교된다.
상기 동작은 연속적으로 일어난다.
즉 스캔체인(Scan-chain)의 수가 증가하더라도 상기 스캔데이타발생수단(110)의 플립플롭(FF)의 수와 레지스터의 bit수 그리고 클럭(N_bit_clock)의 주기를 조정하여 하나의 스캔입력단자(Scan-in)만으로 종래의 장치와 같은 동작을 구현할 수 있다.
따라서, 본 발명에 따른 집적회로의 테스트스캔 회로는 스캔체인의 수에 관계없이 스캔패턴을 입력받는 스캔입력단자를 하나만으로 구성할 수 있는 잇점을 가진다.

Claims (2)

  1. 데스트인에이블단자(test_enable)를 통하여 입력되는 테스트 모드 셋팅신호와 N개의 스캔입력단자(Scan-in1∼Scan-inN)를 통하여 입력되는 N개의 스캔패턴과 테스트_클럭(test_clock)을 각각 입력받아 입력된 스캔패턴을 N개의 스캔출력단자(Scan-out1∼Scan-outN)로 각각 출력하는 제 1∼제 N 스캔체인(Scan-chain1∼Scan-chainN)으로 구성된 집적회로의 테스트스캔 회로에 있어서,
    상기 데스트인에이블단자(test_enable)를 통하여 입력되는 테스트 모드 셋팅신호와 테스트_클럭(test_clock)과 상기 테스트_클럭(test_clock)보다 N배의 빠른 주기를 가지는 클럭(N_bit_clock) 및 하나의 스캔입력단자(Scan-in)를 통하여 입력되는 한 개의 스캔패턴을 N개의 스캔패턴으로 출력하는 스캔데이타발생수단을 추가하여 스캔패턴을 입력받는 스캔입력단자를 하나를 가지는 것이 특징인 집적회로의 테스트스캔 회로.
  2. 청구항 1에 있어서, 상기 스캔데이타발생수단은
    테스트_클럭(test_clock)보다 N배의 빠른 주기를 가지는 클럭(N_bit_clock)을 입력받고 상기 스캔입력단자(Scan-in)를 통하여 연속적으로 입력되는 스캔패턴이 순차적으로 입출력되는 N-1 개의 플립플롭(FF1∼FFn-1)과,
    상기 입력되는 스캔패턴을 N-1 개의 플립플롭(FF1∼FFn-1)의 입력단과 출력 단을 통하여 입력받고 상기 테스트 모드 셋팅신호와 테스트_클럭(test_clock)에 따라 N개의 스캔패턴(scan pattern1∼scan pattern)을 각각 출력하는 N-bit 레지스터로 구성된 것이 특징인 집적회로의 테스트스캔 회로.
KR1019990007156A 1999-03-04 1999-03-04 집적회로의 테스트스캔 회로 KR20000059512A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019990007156A KR20000059512A (ko) 1999-03-04 1999-03-04 집적회로의 테스트스캔 회로

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990007156A KR20000059512A (ko) 1999-03-04 1999-03-04 집적회로의 테스트스캔 회로

Publications (1)

Publication Number Publication Date
KR20000059512A true KR20000059512A (ko) 2000-10-05

Family

ID=19575574

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990007156A KR20000059512A (ko) 1999-03-04 1999-03-04 집적회로의 테스트스캔 회로

Country Status (1)

Country Link
KR (1) KR20000059512A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100515863B1 (ko) * 2001-09-28 2005-09-21 가부시끼가이샤 도시바 반도체 집적 회로

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100515863B1 (ko) * 2001-09-28 2005-09-21 가부시끼가이샤 도시바 반도체 집적 회로
US7057946B2 (en) 2001-09-28 2006-06-06 Kabushiki Kaisha Toshiba Semiconductor integrated circuit having latching means capable of scanning

Similar Documents

Publication Publication Date Title
US5090035A (en) Linear feedback shift register
KR100335683B1 (ko) 속도 관련 결함을 테스트하기 위해 멀티플렉서 스캔플립플롭을 이용하는 방법 및 장치
KR0138257B1 (ko) 집적 회로의 테스팅 장치 및 테스팅 방법
US4864579A (en) Semiconductor integrated circuit device
US5130647A (en) Scan test circuit and semiconductor integrated circuit device using the same
KR100319194B1 (ko) 프로그램가능한딜레이를제공하는장치및방법
US20030056183A1 (en) Scan test circuit, and semiconductor integrated circuit including the circuit
US4897837A (en) Test circuit having selective by pass arrangement for test data
US6401226B1 (en) Electronic system with self-test function and simulation circuit for electronic system
JP2737695B2 (ja) スキャンテスト回路およびそれを含む半導体集積回路装置
KR880009381A (ko) 반도체 집적회로장치
KR0181546B1 (ko) 테스트 가능한 블록을 갖는 반도체 집적회로
US5703884A (en) Scanning pass test circuit
US4856002A (en) Semiconductor integrated circuit apparatus
US4913557A (en) Intergrated logic circuit having testing function circuit formed integrally therewith
KR920001083B1 (ko) 논리회로의 테스트용이화회로
KR100413763B1 (ko) 탭드 코아 선택회로를 구비하는 반도체 집적회로
KR20030030850A (ko) 논리 회로 테스트용 스캔 패스 회로 및 이것을 구비한집적 회로 장치
KR20000059512A (ko) 집적회로의 테스트스캔 회로
JP3453460B2 (ja) 半導体集積回路
KR19990047439A (ko) 혼합 디바이스에서 효율적으로 디지탈 블록을 테스트하기 위한인터페이스 회로
JP3022017B2 (ja) 集積回路
KR100496793B1 (ko) 직렬테스트패턴회로
KR20000001783A (ko) 다중 입력 시그너춰 레지스터를 이용하는 스캔 테스트 회로
KR100797107B1 (ko) 프로세서 디버깅을 위한 레지스터 스캔 셀

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid