KR20000011784A - 플라즈마밀도정보측정방법,측정에쓰이는프로브및플라즈마밀도정보측정장치 - Google Patents

플라즈마밀도정보측정방법,측정에쓰이는프로브및플라즈마밀도정보측정장치 Download PDF

Info

Publication number
KR20000011784A
KR20000011784A KR1019990028921A KR19990028921A KR20000011784A KR 20000011784 A KR20000011784 A KR 20000011784A KR 1019990028921 A KR1019990028921 A KR 1019990028921A KR 19990028921 A KR19990028921 A KR 19990028921A KR 20000011784 A KR20000011784 A KR 20000011784A
Authority
KR
South Korea
Prior art keywords
plasma
high frequency
density information
frequency power
probe
Prior art date
Application number
KR1019990028921A
Other languages
English (en)
Inventor
스가이히데오
타카스가세이치
토요다나오키
Original Assignee
나고야 다이가쿠쵸
추후제출
가부시키가이샤 닛신
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 나고야 다이가쿠쵸, 추후제출, 가부시키가이샤 닛신 filed Critical 나고야 다이가쿠쵸
Publication of KR20000011784A publication Critical patent/KR20000011784A/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N22/00Investigating or analysing materials by the use of microwaves or radio waves, i.e. electromagnetic waves with a wavelength of one millimetre or more
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/0006Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature
    • H05H1/0012Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature using electromagnetic or particle radiation, e.g. interferometry
    • H05H1/0062Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature using electromagnetic or particle radiation, e.g. interferometry by using microwaves

Abstract

플라즈마밀도정보를 장기간에 걸쳐 간단히 측정하기 위한 방법, 그 측정에 사용되는 프로브(probe) 및 플라즈마밀도정보측정장치로서, 측정용 프로브의 유리제 튜브의 선단이 측정대상 플라즈마(PM)에 접하도록 측정프로브를 세팅한다. 동축케이블을 통해 보내지는 고주파파워를 루프 안테나로부터 튜브벽을 통해 플라즈마(PM)로 공급함과 동시에, 고주파파워의 반사파워를 루프 안테나로 수신하여 고주파파워 반사율의 대(對)주파수변화를 구한다. 구한 반사율주파수특성에서 반사율이 크게 저하되는 곳은 플라즈마밀도에 기인하여 고주파파워의 강한 흡수가 일어나는 피크(peak)이다. 이 플라즈마흡수주파수로부터 플라즈마밀도를 구할 수 있다.

Description

플라즈마밀도정보측정방법, 측정에 쓰이는 프로브 및 플라즈마밀도정보측정장치{PLASMA DENSITY INFORMATION MEASURING METHOD, PROBE USED FOR MEASURING PLASMA DENSITY INFORMATION, AND PLASMA DENSITY INFORMATION MEASURING APPARATUS}
본 발명은 박막소자 제조공정이나 입자빔원 또는 분석장치 등에 쓰이는 플라즈마에 있어서 플라즈마밀도정보의 측정방법, 그 측정에 쓰이는 플라즈마밀도정보측정용 프로브 및 플라즈마밀도정보측정장치에 관한 것이며, 특히 플라즈마밀도정보를 장기간에 걸쳐 간단히 측정하기 위한 기술에 관한 것이다.
근래 플라즈마의 이용이 널리 이루어지고 있다. 박막소자의 제조공정에서는 예컨대 10MHz정도의 RF대 주파수로부터 2.45GHz로 대표되는 마이크로파대 주파수까지의 고주파파워(고주파전력)에 의해서 발생시킨 고주파플라즈마를 사용한 에칭처리나 CVD(화학증착법)처리 등이 행하여지고 있다. 이와 같은 플라즈마응용기술에서는 생성플라즈마의 특성을 잘 나타내는 플라즈마밀도에 관한 정보(플라즈마밀도정보)를 충분히 파악하는 것이, 적절한 처리를 하는데 있어서 대단히 중요하게 된다. 일가(一價)의 양이온과 전자로 이루어지는 전형적인 플라즈마의 경우, 전기적 중성이 유지되는 플라즈마 특유의 성질에 기인하여 양이온 밀도와 전자밀도는 실질적으로 같기 때문에, 보통 전자밀도를 플라즈마밀도라고 부른다.
종래 플라즈마 중의 전자밀도를 측정하는 방법으로서, 랑뮈에(Langmuir)·프로브법이나, 마이크로파간섭계측법 외에 비교적 최근 개발된 전자빔조사(照射)식 플라즈마진동프로브법이 있다.
랑뮈에·프로브법은, 플라즈마 중에 금속프로브를 수직으로 노출시킨 상태로 설치하고 놓고, 금속프로브에 직류바이어스전압 또는 고주파전압을 중첩시킨 직류바이어스전압을 인가한 때에 금속프로브에 흐르는 전류값에 따라서 전자밀도를 구하는 방법이다.
마이크로파간섭계측법은, 플라즈마생성용 채임버의 벽에 플라즈마를 사이에 두고 마주하는 창을 설치하여 놓고, 한쪽 창으로부터 마이크로파(예컨대 단색 레이저광)를 플라즈마에 입사시킴과 동시에 플라즈마를 통과하여 다른 쪽 창으로부터 출사(出射)되는 마이크로파를 검출하여, 입사·출사마이크로파 사이의 위상차에 따라 전자밀도를 구하는 방법이다.
또 전자빔조사식 플라즈마진동법은, 열필라멘트를 채임버 안에 설치하고 놓고, 열필라멘트로부터 플라즈마에 전자빔을 조사할 때 생기는 플라즈마진동의 주파수에 따라서 전자밀도를 구하는 방법이다.
그렇지만 상기 랑뮈에·프로브법을 반응성 플라즈마에 적용한 경우에는, 측정을 장시간에 걸쳐 계속할 수 없다는(즉 수명이 짧은) 문제가 있다. 측정 중의 금속프로브표면에는 단시간 내에 절연성피막으로 이루어지는 오염이 부착되어, 금속프로브에 흐르는 전류값이 변동되어, 정확한 측정이 바로 이루어질 수 없게 되기 때문이다. 금속프로브표면에 부착된 오염을 제거하기 위해서, 금속프로브에 음의 바이어스전압을 인가하여 이온으로 스퍼터링 제거하는 방법이나, 금속프로브를 적열(赤熱)시켜 오염을 증발 제거하는 방법도 시도되고 있지만, 효과가 작아 문제를 해결하지는 못한다.
또 마이크로파간섭계측법에는 측정실시가 간단하지 않다는 문제가 있다. 대규모의 비싼 장치나, 어려운 마이크로파전송로 조정이 필요한데다가, 입사·출사 마이크로파 사이의 위상차가 근소하기 때문에 정확한 측정이 어렵기 때문이다. 여기에 마이크로파간섭계측법의 경우, 평균밀도 밖에 구해지지 않아 공간분해능력이 전혀 없는 결점도 있다.
게다가 전자빔조사식 플라즈마진동프로브법의 경우, 열필라멘트로부터 증발하는 텅스텐에 의한 플라즈마분위기 오염의 우려가 더해져, 열필라멘트의 선이 끊어져 측정이 중단될 우려가 있다. 특히 산소나 플론(fleon)계 가스를 사용하는 플라즈마의 경우에는 열필라멘트가 끊어지기 쉬어 빈번히 필라멘트를 교환할 필요가 있기 때문에 실용적이라 하기는 어렵다.
본 발명은 상기한 사정을 감안하여 플라즈마밀도정보를 장기간에 걸쳐 간단히 측정할 수 있는 플라즈마밀도정보측정방법, 플라즈마밀도정보측정용 프로브 및 플라즈마밀도정보측정장치를 제공하는 것을 과제로 한다.
도 1은 본 발명의 실시예에 관한 플라즈마처리시스템을 나타내는 블록도,
도 2는 실시예의 시스템에 사용되고 있는 측정용 프로브를 나타내는 종단면도,
도 3은 실시예의 시스템에 사용되고 있는 측정용 프로브를 나타내는 횡단면도,
도 4는 플라즈마밀도정보측정장치에 사용된 방향성결합기의 등가회로도,
도 5는 측정용 프로브에 있어서 루프 안테나의 위치변경상황을 나타내는 단면도,
도 6은 플라즈마밀도정보측정용 고주파파워의 반사율주파수특성을 나타내는 그래프,
도 7은 플라즈마흡수주파수와 측정용 프로브 튜브의 선단부 길이의 대응관계를 나타내는 그래프,
도 8은 측정용 프로브의 변형예를 나타내는 종단면도,
도 9는 측정용 프로브의 다른 변형예를 나타내는 종단면도,
도 10은 프로브 제어부의 변형예를 나타내는 블록도,
도 11은 프로브 제어부의 다른 변형예를 나타내는 블록도,
도 12는 측정용 프로브와 프로브이동수단을 나타내는 부분단면도,
도 13은 동축케이블의 변형예를 나타내는 부분종단면도,
도 14는 측정용 프로브의 다른 변형예를 나타내는 종단면도,
도 15는 측정용 프로브의 또 다른 변형예를 나타내는 종단면도이다.
<도면 부호의 설명>
1...채임버 2...방전전극
3...배기용 파이프 4...진공배기펌프
5...유량조절밸브 6...가스공급용 파이프
7...가스원 8...고주파전원
9...임피던스정합기 10...반사파워모니터
11...파워조정부 12...측정용 프로브
13...프로브제어부 14...유전체제 튜브
15...루프 안테나 16...동축케이블
17...알루미늄제 도체편 18...고주파발진기
19...방향성 결합기 20...감쇠기
21...필터 22...파워반사율주파수특성구출(求出)부
23...표시모니터 24...파워 리미터
본 발명은 상기 목적을 달성하기 위해서 다음과 같은 구성을 채용한다.
즉 본 발명은 플라즈마밀도정보를 측정하는 방법으로서 이하의 과정을 포함한다:
플라즈마에 고주파파워를 공급하는 과정;
플라즈마부하에 의한 고주파파워의 반사 또는 흡수상황을 나타내는 물리량을 측정하는 과정;
상기 물리량의 측정결과에 기초하여, 플라즈마밀도에 기인하여 고주파파워의 강한 흡수가 일어나는 주파수, 즉 플라즈마흡수주파수를 구하는 과정.
전술한 본 발명에 관한 플라즈마밀도정보측정방법의 경우, 플라즈마에 고주파파워를 공급함과 동시에, 플라즈마부하에 의한 고주파파워의 반사 또는 흡수상황을 나타내는 물리량을 측정(예컨대 고주파파워의 반사량이나 플라즈마부하의 임피던스값의 측정)한다. 그리고 물리량에 관한 측정결과에 기초하여 플라즈마밀도에 기인하여 고주파파워의 공명적(共鳴的)인 강한 흡수가 생기는 플라즈마흡수주파수를 구한다. 고주파파워의 공명적인 강한 흡수가 생기면, 플라즈마부하에 의한 고주파파워의 반사 또는 흡수상황을 나타내는 물리량이 크게 변화하기 때문에 플라즈마흡수주파수가 쉽게 구해질 수 있다. 구해진 플라즈마흡수주파수는 플라즈마밀도와 일정한 상관관계가 있기 때문에 유용한 플라즈마밀도정보가 된다. 본 발명은 플라즈마에 고주파파워, 즉 고주파전자파를 공급하는 구성이기 때문에 고주파파워공급을 위한 안테나에 절연성피막으로 이루어지는 오염이 부착되어도 그 영향이 적어 플라즈마흡수주파수를 높은 정밀도로 측정할 수 있다.
이 점에서, 종래의 랑뮈에·프로브법은 플라즈마 중의 이온이 금속프로브표면에 도달함으로써 흐르는 전류를 검출하고 있는 관계로 금속프로브에 절연성피막이 부착되면 측정이 불가능하게 되는 것에 비하여, 본 발명은 우수하다. 또 본 발명에 의하면, 전자빔조사식 플라즈마진동프로브법 같은 열필라멘트를 쓰지 않기 때문에 필라멘트의 단선 등의 문제가 없어 장기간에 걸쳐 플라즈마밀도정보를 얻을 수 있다.
본 발명방법에 있어서 바람직하게는 유전체제(誘電體製) 격벽(隔璧)을 통해 플라즈마에 고주파파워를 공급한다. 측정대상인 플라즈마측과, 고주파파워의 공급측 사이에 유전체제 격벽을 개재시킴으로써 고주파파워를 공급하는 쪽으로부터 플라즈마로 이물질이 침입하지 않아 플라즈마를 청정하게 유지할 수 있다. 또 반응성 플라즈마의 경우에도 고주파파워공급측에 손상을 주는 일이 없다. 게다가 유전체제 격벽의 표면에 절연성피막 등의 오염이 부착되어도 측정계에 변화는 생기지 않기 때문에, 한층 장기간에 걸쳐 플라즈마밀도정보를 얻을 수 있다.
본 발명방법에 있어서, 플라즈마부하에 의한 고주파파워의 반사 또는 흡수상황을 나타내는 물리량의 측정은, 예컨대 고주파파워공급용 고주파앰플리파이어의 전류량 계측에 의해 이루어진다. 고주파파워공급용 고주파앰플리파이어에는, 플라즈마부하에 의한 고주파파워의 반사 또는 흡수 정도에 따른 전류가 흐르기 때문에, 이 전류량을 측정함으로써 고주파파워의 반사 또는 흡수상황을 나타내는 물리량 측정을 간단히 실시할 수 있다.
본 발명방법에 있어서 예컨대 고주파파워의 주파수를 소인(掃引)하면서 고주파파워의 반사량을 검출함과 동시에, 소인주파수와 고주파파워 반사량 검출결과와의 대응관계에 근거하여 플라즈마흡수주파수를 구한다. 즉 고주파파워의 반사량이 크게 떨어져 있는 주파수를, 플라즈마밀도에 기인하여 고주파파워의 공명적인 강한 흡수가 생기는 주파수, 즉 플라즈마흡수주파수로서 간단히 구할 수 있다.
본 발명방법에 있어서, 플라즈마흡수주파수로서 예컨대 플라즈마표면파 공명주파수를 구한다. 표면파공명주파수(f)는 플라즈마 중의 전자밀도(ne)에 정확히 대응하고 있다.
본 발명방법에 있어서, 상기에서 구해진 플라즈마표면파공명주파수에 의해 측정대상 플라즈마 중의 전자밀도를 산출한다. 즉 표면파공명주파수 f = ω/2π(단 ω는 표면파공명 각주파수)에 의해 플라즈마 중의 전자밀도(ne)를 산출한다. 전자밀도(ne)는 플라즈마밀도와 실질적으로 등가이다. 전자밀도(ne)는 예컨대 아래 식(1)에 의해 간단히 산출될 수 있다.
ne= ε0·me·ωp/e2·····(1)
단 ωp: 전자플라즈마 각주파수[ωp= ω×√(1+ε)〕
ε: 유전체제 격벽의 비유전율, ε0: 진공유전율
me: 전자의 질량, e: 전자소량(素量)
본 발명방법에 있어서, 플라즈마흡수주파수로서 예컨대 Tonks-Dattner 공명주파수를 구한다. 고주파파워를 플라즈마에 조사하면, 표면파공명에 의한 외에 복수의 흡수스펙트럼이 관측된다. 이것은 이른바 Tonks-Dattner 공명이라고 불리는 것에 대응하고 있다고 생각된다. 즉 원통모양의 플라즈마 밖에서 전자파를 조사하여 플라즈마에 흡수되는 파워를 측정하면, 전자플라즈마 각주파수(ωp) 부근의 복수의 주파수에서 강하게 흡수가 일어난다. 이 현상은 발견자의 이름을 따서 Tonks-Dattner 공명이라 불린다. 이후의 연구에서 이 공명이 일어나는 기구는, 전자파에 의해서 반경방향으로 전해지는 전자플라즈마파가 여기되고, 그것이 플라즈마단(端)에서 반사되어 정상파가 설 때 공명적으로 흡수가 일어난다고 설명되고 있다. 또 공명주파수는 전자플라즈마 각주파수(ωp)에 관계하고 있기 때문에, 플라즈마밀도가 변하면 Tonks-Dattner 공명의 주파수도 변화한다. 요컨대 Tonks-Dattner 공명주파수는 플라즈마밀도의 정보를 준다.
또 본 발명은 상기 플라즈마밀도정보측정에 쓰이는 프로브로서 이하의 요소를 포함한다:
선단이 막혀 있는 유전체제 튜브;
상기 튜브의 선단측에 수용되어 고주파파워를 방사하는 안테나;
상기 튜브의 뒤쪽에 수용되며 안테나에 접속되어 있는 고주파파워전송용 케이블.
전술한 본 발명에 관한 플라즈마밀도정보측정용 프로브를 사용하여 플라즈마밀도정보를 측정하는 경우, 튜브의 선단이 측정대상 플라즈마에 접하도록 프로브를 세팅하여 놓고, 케이블을 경유하여 보내지는 고주파파워를 안테나로부터 유전체제 튜브벽을 통해 플라즈마로 공급함과 동시에, 플라즈마흡수주파수 측정에 필요한 고주파파워의 반사파워를 안테나로 수신하여 케이블을 경유하여 취출(取出)한다. 또 안테나로부터 나가는 고주파파워가 플라즈마에 영향을 주는 범위는 그리 광범위하지 않기 때문에, 고주파파워의 량을 조정하거나 하면, 국소적인 플라즈마밀도정보를 얻을 수도 있다. 결국 본 발명에 관한 플라즈마밀도정보측정용 프로브를 사용하면, 플라즈마밀도정보의 측정에 필요한 준비가 용이하게 갖추어지고 측정을 간단하게 할 수 있는데다가 공간분해능력을 발현시킬 수도 있다. 또 안테나가 유전체제 튜브로 덮여져 있기 때문에 플라즈마를 오염시키는 일이 없고, 반대로 플라즈마로부터 손상도 받지 않기 때문에 수명이 길다.
본 발명에 관한 플라즈마밀도정보측정용 프로브에 있어서, 바람직하게는 유전체제 튜브에 수납된 안테나 및 케이블이, 튜브의 길이방향을 따라 이동 가능하게 되어 있어 튜브 내에서 안테나의 위치가 변경될 수 있도록 구성되어 있다. 이 예에 의하면, 유전체제 튜브 안에서 안테나의 위치를 튜브의 길이방향을 따라 몇 번인가 변경한다. 그리고 각 안테나위치에 있어서의 플라즈마흡수주파수를 각각 측정한다. 이 측정에 의해 구하여진 몇 개의 흡수주파수 중 최저주파수로서 안테나의 위치를 바꾸어도 변하지 않는 주파수를 표면파공명주파수로 하여 구한다.
본 발명에 관한 플라즈마밀도정보측정용 프로브에 있어서, 바람직하게는 안테나에서의 방출전자파 누설을 방지하기 위한 도체가 케이블과 튜브의 내면과의 간극을 막도록 하여 안테나의 약간 앞쪽 위치에 설치되어 있다. 이와 같이 구성하면 안테나의 약간 앞쪽에 배치된 도체가, 안테나로부터 방출되는 전자파파워가 플라즈마 이외의 곳으로 누설되는 것을 방지하기 때문에, 고주파파워 누설에 의한 측정오차가 회피된다.
본 발명에 관한 플라즈마밀도정보측정용 프로브에 있어서, 바람직하게는 프로브를 강제적으로 냉각하는 프로브냉각수단이 설치되어 있다. 이 예에 의하면 프로브냉각수단에 의해서 프로브가 강제적으로 냉각되기 때문에, 튜브나 케이블 등의 온도상승에 의해서 일어나는 측정오차가 회피된다.
본 발명에 관한 플라즈마밀도정보측정용 프로브에 있어서, 바람직하게는 고주파파워전송용의 케이블이, 심선(芯線)과 쉴드(shield)용 도체제 튜브와, 심선·도체제 튜브 사이의 공극(空隙)을 메우는 절연용 세라믹재로 이루어진다. 이 예에 의하면 고주파파워전송용 케이블의 심선과 쉴드용 도체제 튜브 사이의 공극이 열에 강한 절연용 세라믹재로 메워져 있기 때문에 케이블의 내열성이 향상된다.
본 발명에 관한 플라즈마밀도정보측정용 프로브에 있어서, 바람직하게는 유전체제 튜브는, 측정 영역이 미피복상태로 되도록 하여, 튜브표면이 금속으로 피복되어 있다. 이 예에 의하면 유전체제 튜브의 표면은, 측정 영역이 미피복상태가 되도록 하여, 금속으로 덮여있기 때문에, 측정결과는 금속으로 피복되어 있지 않은 측정 영역의 국부적 상황을 강하게 반영하게 되어 공간분해능력이 향상된다.
본 발명에 관한 플라즈마밀도정보측정용 프로브에 있어서, 바람직하게는 안테나가 유전체제 튜브의 내면에 근접하여 따르도록 이어져 있다. 이와 같이 구성하면 안테나로부터 방사되는 고주파파워가, 플라즈마에 높은 효율로 공급되기 때문에 고주파파워의 공급량이 적게 되어 측정정밀도가 향상된다.
게다가 본 발명은 플라즈마밀도정보를 측정하는 장치로서, 이하의 요소를 포함하는 장치를 제공한다:
플라즈마에 고주파파워를 주파수소인하면서 공급하는 주파수소인식 고주파파워공급수단;
상기 고주파파워의 반사량을 검출하는 반사파워량검출수단;
상기 고주파파워의 소인주파수 및 고주파파워의 반사량검출결과에 기초하여 고주파파워 반사율의 대(對)주파수변화를 구하는 파워반사율주파수특성검출수단.
전술한 본 발명장치에 의하면 플라즈마밀도정보로서의 플라즈마흡수주파수를 간단히 측정할 수 있다.
본 발명장치에 있어서, 상기 장치는 바람직하게는 플라즈마와 주파수소인식 고주파파워공급수단 사이에 개재하는 유전체제 격벽을 갖추고 있다. 이 구성에 의하면 플라즈마와 주파수소인식 고주파파워공급수단 사이에 유전체제 격벽이 개재하고 있기 때문에, 플라즈마를 청정하게 유지할 수 있다.
본 발명장치에 있어서, 바람직하게는 전술한 플라즈마밀도정보측정용 프로브를 구비하고, 유전체제 튜브의 관벽을 유전체제 격벽으로 하여 튜브 내의 안테나로부터 플라즈마로 고주파파워가 공급되도록 구성되어 있음과 동시에, 유전체제 튜브에는 복수의 안테나가 튜브 선단으로부터의 거리가 각각 다르도록 수용되어 있고, 파워반사율주파수특성검출수단이, 고주파수파워반사율의 대주파수변화를 각 안테나마다에 구함과 동시에, 각 대주파수변화에 있어서 동일 주파수위치에 나타나는 플라즈마흡수주파수를 플라즈마표면파공명주파수로 하여 구하도록 구성되어 있다. 이 구성에 의하면 플라즈마밀도정보를 간단히 측정할 수 있는데다가, 공간분해능력을 발현시킬 수도 있다. 이에 더하여 튜브 선단으로부터의 거리가 다른 각 안테나마다의 고주파수파워반사율의 대주파수변화로부터 플라즈마표면파공명주파수를 용이하게 구할 수 있다.
본 발명장치에 있어서, 바람직하게는 플라즈마밀도정보측정용 프로브가 플라즈마 생성용 채임버에 진퇴가 가능하게 삽입되어 있음과 동시에, 비측정시는 프로브 선단이 채임버 내의 측정위치로부터 채임버 벽면부근의 퇴피위치로 인입(引入)되도록 프로브를 이동시키는 프로브이동수단을 갖추고 있다. 이 구성에 의하면 플라즈마밀도정보측정용 프로브의 선단을 비측정시는 프로브이동수단에 의해 채임버 벽면부근의 퇴피위치로 인입시키기 때문에, 프로브 표면에 오염이 두텁게 퇴적되는 플라즈마의 경우에도, 측정시만 프로브를 플라즈마 쪽으로 이동시키도록 하여 프로브의 오염을 방지하여 프로브를 장기간 계속 사용할 수 있다.
본 발명장치에 있어서, 바람직하게는 플라즈마밀도정보측정용 프로브의 후단에, 프로브 내의 안테나로 잘못 들어오는 과대한 플라즈마 생성용 고주파파워를 저지하는 보호수단이 설치되어 있다. 이 구성에 의하면 플라즈마밀도정보측정용 프로브의 안테나에 과대한 플라즈마 생성용 고주파파워가 잘못 들어오는 경우, 후단의 보호수단이 과대하게 잘못 들어오는 고주파파워를 저지하여 장치의 파괴를 방지한다. 특히 생성플라즈마가 갑자기 소멸한 때는 플라즈마 생성용 고주파파워가 직접 안테나에 실려 장치가 파괴될 우려가 있지만, 이 우려가 보호수단에 의해 해소된다.
이하 본 발명의 적절한 실시예를 도면에 의해 상세히 설명한다.
도 1은 본 발명의 플라즈마밀도정보측정용 프로브 및 측정장치의 한 예를 구비하여, 본 발명의 플라즈마밀도정보측정방법의 한 예를 실시할 수 있는 플라즈마처리시스템을 나타내는 블록도, 도 2는 플라즈마처리시스템에 사용되고 있는 본 발명에 관한 플라즈마밀도정보측정용 프로브(이하 「측정용 프로브」라 한다)의 한 예의 구성을 나타내는 종단면도, 도 3은 본 발명의 측정용 프로브의 한 예의 구성을 나타내는 횡단면도이다.
실시예의 플라즈마처리시스템은, 도 1에 나타난 바와 같이 반응성 플라즈마(이하「플라즈마」라 한다)(PM)가 생성되는 실내공간(S)을 가지는 지름 수10cm의 원통모양 스테인레스강제 채임버(1)와, 채임버(1) 내에 설치된 플라즈마 발생용 방전전극(방전안테나, 2)과, 배기용 파이프(3)를 통해 채임버(1)의 실내공간(S)과 연통되어 있는 진공배기펌프(4)와, 유량조절밸브(5)가 개재되어 설치된 가스공급용 파이프(6)를 통해 채임버(1)의 실내공간(S)과 연통되어 있는 가스원(7)을 갖추고 있다. 이밖에 실시예 시스템의 채임버(1)에는, 작업대상(W)의 재치대(도시생략)이나 작업대상(W)의 반입·반출기구 등도 설치되어 있다.
채임버(1)의 실내공간(S)은 진공배기펌프(4)에 의해서 배기되어 적당한 압력이 유지된다. 플라즈마(PM) 생성시 실내공간(S)의 분위기압력으로는 수mTorr∼수십mTorr가 예시된다. 또 가스원(7)으로부터 가스가 적당한 유량으로 공급된다. 공급가스의 종류로는 아르곤, 질소, 산소가스, 불소계 가스, 염소계 가스 등이 예시된다. 유량조절밸브(5)로 설정되는 가스유량으로는 10∼100cc/분 정도가 예시된다.
또 채임버(1) 밖에는 플라즈마 발생용 고주파파워(고주파전력)를 공급하기 위한 고주파전원(8)이 설치되어 있다. 고주파전원(8)으로부터 출력되는 고주파파워는 임피던스정합기(9)를 경유하여 방전전극(2)으로 보내진다. 고주파전원(8)으로부터 출력되는 고주파파워의 크기는 예컨대 1kW∼3kW 정도가 예시된다. 고주파파워의 주파수는 특정한 주파수에 한정되는 것은 아니지만, 통상 13.56MHz로 대표되는 RF대에서 900MHz∼2.45GHz정도의 마이크로파대까지의 주파수이다.
방전전극(2)으로는 유도결합형 RF 방전 플라즈마의 경우 유도 코일이 쓰이고, 용량결합형 RF 방전의 플라즈마의 경우 평판상 전극이 쓰인다. 또 고주파파워의 주파수가 마이크로파대의 주파수인 마이크로파방전 플라즈마의 경우, 혼안테나나 슬롯안테나 또는 개구도파관(開口導波管) 등이 방전전극(2)으로 쓰인다.
임피던스정합기(9)로서는, 고주파파워의 주파수가 RF대 주파수인 경우 인덕턴스와 정전용량을 조합한 정합회로가 쓰이고, 고주파파워의 주파수가 마이크로파대 주파수인 경우 EH튜너나 스탭튜너가 쓰인다.
또 실시예의 시스템의 경우, 플라즈마부하측에서 흡수되지 않고 전원측으로 되돌아오는 고주파파워의 반사량을 검출함과 동시에 검출된 고주파파워의 반사량을 파워조정부(11)에 보내는 반사파워모니터(10)가 설치되고 있고, 파워조정부(11)는 고주파파워의 반사량(반사전력량)이 최소로 되도록 임피던스정합기(9)를 컨트롤하여 플라즈마밀도를 안정시키는 구성으로 되어 있다.
상기한 바와 같이 하여 생성되는 플라즈마(PM)에 의해서, 작업대상(W)에 대하여 에칭처리나 CVD(화학증착법)처리 등으로 하고 있지만, 실시예의 시스템에는 이하 설명하는 것과 같이 플라즈마(PM)의 특성을 잘 나타내는 플라즈마밀도에 관한 정보를 측정하기 위한 장치가 장비되어 있다. 작업대상(W)에 적절한 처리를 하기 위해서는 플라즈마밀도정보를 측정하고 플라즈마(PM)의 특성을 파악하는 것이 대단히 중요하다.
실시예의 플라즈마밀도정보측정장치는, 도 1에 도시한 바와 같이 채임버(1)의 벽에 설치되어 있는 측정용 프로브(12)와 채임버(1)의 외측에 설치되어 있는 프로브제어부(13)로 구성된다. 우선 측정용 프로브(12)의 구체적 구성에 관해서 설명한다.
측정용 프로브(12)는, 도 2 및 도 3에 도시한 바와 같이 선단이 밀폐되어 있음과 동시에 후단이 대기(외기)로 개방되어 있는 유전체제 튜브(14)와, 고주파파워를 방사하는 루프 안테나(15)와, 루프 안테나(15)에 접속되어 고주파파워를 루프 안테나(15)로 전송하는 동축케이블(16)과, 방출전자파의 누설을 방지하기 위한 알루미늄제 도체편(17)을 갖추고 있다. 튜브(14)를 형성하는 유전체 재료는 특히 한정되지 않으며 예컨대 강화내열유리, 석영, 세라믹스가 예시된다.
루프 안테나(15)와 동축케이블(16)은 루프 안테나(15)가 먼저가 되도록 하여 튜브(14) 안에 수납되어 있다. 또한 도체편(17)은 동축케이블(16)과 튜브(14)의 내면과의 간극을 폐쇄하도록 하여 루프 안테나(15)의 약간 앞쪽 위치에 설치되어 있다. 그 결과 고주파파워 누설에 의한 측정오차가 회피된다.
측정용 프로브(12)는 채임버(1) 벽에 설치된 관통구멍(1A)으로부터, 그 선단이 채임버(1) 내에 위치하도록 하여 삽입 설치되어 있다. 측정용 프로브(12)의 외주면과 채임버(1)의 관통구멍(1A) 사이에는 오링(1B)이 개재되어 있어, 측정용 프로브(12)의 장착에 의해서 진공누설을 일으키지 않는 구성으로 되어 있다.
동축케이블(16)은, 도 3에 도시한 바와 같이 심선(16a)과, 심선(16a)을 외측으로부터 길이방향을 따라 계속하여 둘러싸는 쉴드선(16b)과의 사이에 불소계 수지 등의 절연재(16c)가 개재되는 통상의 동축구조이다. 튜브(14)와 동축케이블(16)의 간극에는 공기나 질소가스 등 냉각용 유체가 강제적으로 보내지고 있다. 그 결과 튜브(14)나 동축케이블(16) 등의 온도상승에 의해서 일어나는 측정오차가 회피된다. 냉각용 유체를 보내는 수단으로는, 다음과 같은 구조를 채용할 수 있다. 예컨대 도시되지 않은 세관(細管)을 튜브(14)나 동축케이블(16)과의 간극에 삽입하고, 그 세관의 선단을 도체편(17) 가까이 위치시킨다. 이 세관을 통해 냉각용 유체를 튜브(14)의 안쪽으로 보내 측정용 프로브(12)를 냉각한다. 또 냉각용 유체는 공기 등의 기체에 한정되지 않으며 물 등의 액체라도 좋다.
게다가 루프 안테나(15)와 동축케이블(16) 및 도체편(17)은, 도 5에 도시한 바와 같이 동축케이블(16)을 튜브(14)의 길이방향에 대하여 빼거나 밀어 넣음으로써 일체적으로 전진 또는 후퇴하여, 루프 안테나(15)의 위치가 튜브(14)의 길이방향을 따라 변경되는 구성으로 되어있다. 즉 측정용 프로브(12)에서는, 루프 안테나(15)를 포함하는 도체편(17)으로부터 앞쪽 튜브(14)의 선단부길이(L)가 간단히 변경된다.
이어서 프로브제어부(13)의 구체적 구성에 관해서 설명한다. 프로브제어부(13)는, 주파수소인식 고주파발진기(18)와, 방향성 결합기(19)와, 감쇠기(20)와, 필터(21)를 갖추고 있고, 이들이 도 1에 나타난 순서로 측정용 프로브(12)에 접속되어 있다. 고주파발진기(18)는 100kHz에서 3GHz의 주파수로 10mW 정도의 플라즈마밀도정보측정용 고주파파워를 자동적으로 주파수소인하면서 출력한다. 고주파발진기(18)로부터 출력된 고주파파워는 방향성결합기(19), 감쇠기(20), 필터(21)를 순서대로 경유하여 측정용 프로브(12)에 전송된다.
한편 플라즈마밀도정보측정용 고주파파워는 루프 안테나(15)로부터 방출되어 플라즈마부하에 모두 흡수된다고는 할 수 없으며, 플라즈마부하에 흡수되지 않고 반사되어 되돌아오는 부분도 있다. 플라즈마부하에 흡수되지 않고 되돌아오는 고주파파워의 반사량은, 방향성결합기(19)로 검출되어 파워반사율주파수특성구출부(22)로 보내진다. 이 파워반사율주파수특성구출부(22)에는 고주파발진기(18)로부터 출력되는 고주파파워의 주파수도 차례로 보내진다.
필터(21)는 안테나(15)를 경유하여 프로브제어부(13)에 혼입되어오는 플라즈마 여기용 고주파파워를 제거하는 일을 한다. 또 감쇠기(20)는 측정용 프로브(12)로 보내지는 고주파파워의 량을 조정하는 일을 한다.
방향성결합기(19)는 도 4에 도시한 바와 같이 심선(19a)과, 이 심선(19a)을 외측으로부터 길이방향을 따라서 계속 둘러싸는 쉴드선(19b)으로 이루어지는 동축구조이고, 쉴드선(19b)의 안쪽에 심선(19a)을 따라 짧은 결합라인(19c)이 설치되어 있다. 이 결합라인(19c)의 고주파발진기측은 저항(19d)을 통해 접지되어 있고, 결합라인(19c)의 비접지측에서 고주파파워의 반사량이 검출될 수 있는 구성으로 되어있다.
파워반사율주파수특성구출부(22)는 고주파파워의 주파수와, 고주파파워의 검출반사량에 따라서 고주파파워의 반사율의 대주파수변화를 구하고, 구해진 결과를 표시모니터(23)에 출력하는 구성으로 되어있다. 표시모니터(23)의 화면에는 고주파파워 반사율의 대주파수변화가 그래프로 표시된다. 즉 파워반사율주파수특성구출부(22)에서는 [고주파파워의 검출반사량] ÷ [고주파파워의 전출력량(실시예에서는 일정량)]의 연산이 이루어져 고주파파워의 반사율이 구해지고, 시시각각 변하는 주파수에 대응하여 도시됨으로써 고주파파워 반사율의 대주파수변화가 구해진다.
반사율이 크게 내려가는 곳은 플라즈마밀도에 기인하여 고주파파워가 강한 흡수가 일어나는 흡수피크이고, 이 흡수피크의 주파수가 플라즈마흡수주파수로 된다. 플라즈마흡수주파수는 플라즈마밀도와 일정한 상관관계가 있기 때문에, 유용한 플라즈마밀도정보가 얻어진다. 플라즈마흡수주파수가 표면파공명주파수인 경우, 플라즈마밀도와 실질적으로 등가인 플라즈마 중의 전자밀도(ne)를 간단히 산출함으로써 플라즈마밀도정보를 얻을 수 있다.
이어서 실시예의 플라즈마밀도정보측정장치에 의한 구체적인 플라즈마밀도정보측정 예에 관해서 설명한다.
채임버(1)의 실내공간(S)이 아르곤 10mTorr의 상태가 되도록 조정하였다. 그리고 고주파전원(8)으로부터 방전전극(2)에 13.56MHz의 고주파파워를 1.2kW의 출력량을 줌으로써 실내공간(S)에 반응성플라즈마(PM)를 생성하였다.
측정용 프로브(12)의 튜브(14)는 외경 6mm: 비유전율 4인 파이렉스(Pyrex)제 유리관이다. 동축케이블(16)은 50Ω의 세미 리지드 케이블(semi rigid cable)이고, 도체편(17)은 알루미늄 호일로 이루어진다.
우선 측정용 프로브(12)를 도 2에 도시한 바와 같이 루프 안테나(15)의 기단에서 튜브(14) 선단부까지의 길이가 3.5mm가 되도록 설정하였다. 그리고 고주파발진기(18)로부터 10mW의 고주파파워를 100kHz에서 3GHz까지 주파수소인하면서 출력하였다. 이때의 고주파파워의 반사량을 방향성결합기(19)로 검출하여 도 6의 최상단 곡선(Ra)에서 나타난 바와 같이, 고주파파워 반사율의 대주파수변화를 측정하여 표시모니터(23)에 표시하였다.
이어서 도 5에 도시한 바와 같이, 루프 안테나(15) 기단으로부터 튜브(14) 선단부까지의 길이가 5.5mm, 7.5mm, 9.5mm, 11.5mm, 13.5mm로 되도록 측정용 프로브(12)의 세팅 위치를 변경하고 각 변경위치에 있어서 위와 같이 고주파파워의 반사율의 대주파수변화를 측정·표시하였다. 결과는 도 6의 곡선 Rb∼Rf가 나타내는 대로이다.
곡선 Ra∼Rf에는 플라즈마부하측에서의 고주파파워의 강한 흡수가 있음을 나타내는 흡수피크 Pa∼ Pd 몇 개가 나타나 있다. 흡수피크 Pa∼Pd 위치의 주파수가 플라즈마흡수주파수이다. 이들 플라즈마흡수주파수로부터 생성 플라즈마(PM)의 특성을 파악할 수 있다. 단 가장 낮은 주파수의 흡수피크 Pa만은 도 7에 도시한 바와 같이 선단부길이가 변화하여도 거의 동일주파수(1.5GHz)의 위치에 나타나고 있어, 항상 동일한 플라즈마흡수주파수가 측정된다. 이와 같이 선단부길이(L)에 의존하지 않는 플라즈마흡수주파수는 플라즈마표면파공명주파수(f=ω/2π)이다. 또 가장 낮은 주파수측에 나타나는 흡수피크라도 선단부길이를 변화시키면 그 주파수가 변위하는 것은 플라즈마표면파공명주파수가 아니다. 결국 본 실시예에서는 가장 낮은 주파수측에 나타나는 흡수피크가 플라즈마표면파공명주파수인가 아닌가를 확인하기 위해서, 선단부길이가 변하도록 되어 있다.
이렇게 하여 플라즈마표면파공명주파수(f)가 얻어지면 전술한 식(1)에 근거하여 전자플라즈마각주파수(ωp)가 다음과 같이 구해진다.
ωp= ω×√(1+ε) = 2π×1.5×109×√(1+4) = 3.35×109
게다가 플라즈마(PM)의 전자밀도(ne)도 다음과 같이 구해진다.
ne= ε0·me·ωp/e = 1.4 × 1011/cm3
플라즈마(PM)의 전자밀도(ne)는 플라즈마밀도와 실질적으로 등가이기 때문에 생성 플라즈마(PM)의 특성을 파악(모니터)하기 쉽다.
실시예의 경우 루프 안테나(15) 및 동축케이블(16)과, 플라즈마(PM) 사이에 튜브(14)가 개재되기 때문에, 루프 안테나(15)나 동축케이블(16)로부터 플라즈마(PM) 중으로 이물질 등이 침입하는 일이 없어 플라즈마의 청정성을 확보할 수 있다. 또 튜브(14)가 개재됨으로써 플라즈마(PM)에 의한 루프 안테나(15)나 동축케이블(16)의 손상을 저지한다. 또 측정 중 튜브(14) 표면에 절연성피막으로 이루어지는 오염이 엷게 부착되어도 절연성피막이 유전체이기 때문에 실질적으로 측정계가 변화하지 않아, 절연성피막의 오염에 의한 측정결과 변동은 생기지 않는다. 따라서 장기간에 걸쳐 플라즈마밀도정보를 측정할 수 있다.
또 튜브(14)를 개재시켜 고주파파워를 루프 안테나(15)로부터 공급하여 측정하기 쉬운 공명적인 고주파파워의 흡수현상을 파악하는 정도의 것이기 때문에, 플라즈마밀도정보가 극히 간단히 측정될 수 있다. 게다가 열필라멘트가 없는 방식이기 때문에 증발텅스텐에 의한 분위기오염을 걱정할 필요나, 열필라멘트를 교환할 필요도 없다.
또 플라즈마(PM) 중 다른 곳의 플라즈마밀도를 측정하고 싶은 경우에는, 채임버(1)로의 측정용 프로브(12) 삽입 길이(도 1 중 부호 M으로 나타나는)를 바꾸어, 그 곳을 전술한 것과 같은 방법으로 측정하면 된다. 이와 같이 여러 곳에서 플라즈마밀도를 측정함으로써 플라즈마밀도 분포를 알 수 있다.
본 발명은 상기 실시예에 한정되는 것은 아니며, 아래와 같이 변형 실시할 수 있다.
(1) 상기의 실시예에서는, 선단에 루프 안테나(15)가 형성된 동축케이블(16)을 유전체제 튜브(14)로 피복하여 형성된 측정용 프로브(12)를 사용하였지만, 측정용 프로브(12)는 반드시 튜브(14)로 피복되어 있을 필요는 없다. 즉 선단에 루프 안테나(15), 또는 심선(16a)을 바늘모양으로 돌출시켜 이루어지는 안테나가 형성된 동축케이블을, 플라즈마 중에 직접 삽입하여 플라즈마밀도를 측정할 수도 있다. 이 경우 플라즈마 중에 노출되어 있는 안테나에 절연성의 피막이 부착되는 경우도 있지만, 본 발명은 안테나로부터 고주파파워(전자파)를 방사하는 구성이기 때문에 안테나에 부착된 절연성 피막 등에 의해서 받는 영향은 적다.
(2) 상기 실시예의 경우, 측정용 프로브(12)의 튜브(14)가 채임버(1)의 벽에 착탈 가능하게 설치되는 구성이었다. 그러나 측정용 프로브(12)의 튜브(14)가 채임버(1) 벽에 미리 고정되어 있고, 측정시마다 루프 안테나(15)와 케이블(16) 및 도체편(17)을 튜브(14)에 삽입하여 측정하는 구성의 것도 본 발명의 변형예로 들 수 있다.
(3) 본 발명의 측정방식에서는, 도 6에 도시한 바와 같이 흡수피크(Pa)에 상당하는 플라즈마표면파공명주파수(f) 이외에도 그 주변에 몇 개의 플라즈마흡수주파수(도 6의 흡수피크 Pb, Pc, Pd)가 관측된다. 이들은 소위 Tonks-Dattner 공명이라고 불리는 것에 대응하고 있다고 생각된다. 전술한 바와 같이 공명주파수는 전자플라즈마각주파수(ωp)에 관계하고 있기 때문에 플라즈마밀도가 변하면Tonks-Dattner 공명의 주파수도 변화한다. 따라서 Tonks-Dattner 공명주파수로부터 플라즈마밀도의 정보를 얻을 수 있다. 단지 플라즈마표면파공명주파수(f)는 플라즈마밀도와 실질적으로 등가인 플라즈마 중의 전자밀도에 직접 결부되기 때문에 특히 유용한 플라즈마밀도정보이다.
(4) 상기 실시예의 경우, 플라즈마부하에 의한 고주파파워의 흡수상황을 나타내는 물리량이 고주파파워의 반사율이었다. 본 발명에서는 플라즈마부하에 의한 고주파파워의 흡수상황을 나타내는 물리량으로서 플라즈마부하의 임피던스값도 들 수 있다. 이 경우 채널 애널라이져(channel analyser)를 사용하여 플라즈마부하 임피던스의 대주파수특성을 측정하는 구성으로 된다.
(5) 상기 실시예의 경우, 채임버(1)에 측정용 프로브(12)가 1개만 설치되는 구성이지만, 채임버(1)에 측정용 프로브(12)가 여러 개 설치되는 구성의 것을 변형예로 들 수 있다.
(6) 상기의 실시예에서는, 측정용 프로브(12)를 플라즈마 중에 삽입하여 플라즈마밀도정보를 얻었지만, 측정용 프로브(12)는 반드시 플라즈마 중에 설치할 필요는 없다. 예컨대 도 1에 나타낸 채임버(1)에 내열강화유리나 석영 등의 유전체로 이루어지는 창을 설치하고, 이 창의 외측에 고주파파워 조사용 안테나를 설치하여, 이 창을 통해 채임버(1) 내 플라즈마 중에 고주파파워를 조사하도록 하여도 좋다.
(7) 본 발명의 측정용 프로브의 형상·재료나 안테나의 종류 등도 실시예에 열거한 것에 한정되지 않는다. 또 본 발명이 대상으로 하는 플라즈마로는 처리용 플라즈마뿐만 아니라 입자빔원 또는 분석장치 등에 쓰이는 플라즈마도 들 수 있다.
(8) 상기 실시예의 경우, 측정용 프로브(12)에 있어서 루프 안테나(15)의 기단으로부터 튜브(14) 선단부까지의 길이를 변화시키고 각 선단부길이에서의 동일주파수의 플라즈마흡수주파수를 플라즈마표면파공명주파수(f)로 하여 구하는 구성이었다. 그대신 도 8에 나타난 바와 같이, 유전체제 튜브(14a) 내에, 안테나기단에서 튜브(14) 선단부까지의 길이(La, Lb)가 다르도록 복수의 선상(線狀) 안테나(15a, 15a) 및 동축케이블(16A, 16B)을 수용하여 놓고, 프로브제어부(13)의 파워반사율주파수특성구출부(22)로 각 안테나마다 플라즈마흡수주파수를 구함과 동시에, 흡수주파수비교부(22a)에서 공통주파수의 플라즈마흡수주파수를 플라즈마표면파공명주파수(f)로 하여 구하는 구성의 것을 변형예로 들 수 있다.
또 선상 안테나(15a, 15a) 및 동축케이블(16A, 16B)을 하나의 유전체제 튜브에 수용하지 않고, 도 9에 나타난 바와 같이, 별도의 유전체제 튜브(14, 14)에 나눠 수용하여도 좋다.
이들 변형예의 경우, 튜브(14)의 선단부길이를 변화시키지 않고도 간단히 플라즈마표면파공명주파수(f)가 요청된다.
(9) 상기 실시예의 경우, 플라즈마밀도정보계측용 고주파파워의 반사량을 방향성결합기(19)로 취출하는 방식의 구성이지만, 고주파파워 반사량이 측정을 플라즈마밀도정보계측용 고주파파워공급용의 고주파앰플리파이어 전류량을 계측하여 측정하는 방식의 것을 변형예로 들 수 있다. 고주파앰플리파이어의 전류량은 고주파파워의 반사량과 대단히 좋은 대응관계를 나타내고 있고 계측도 간단하다.
구체적으로 도 10에 나타난 바와 같이, 고주파발진기(18)의 오실레이터신호발진부(18a) 다음 단에 설치되어 있는 고주파앰플리파이어부(18b)의 전류량을 앰플리파이어전류검출부(19a)에서 취출하여 파워반사율주파수특성구출부(22)로 송출하는 구성이 된다. 앰플리파이어전류검출부(19a)로서는 예컨대 고주파앰플리파이어부(18b) 구동전원의 전류값을 검출하는 회로구성의 것이 예시된다.
(10) 상기 실시예에 있어서, 도 11에 나타난 바와 같이 플라즈마밀도정보측정용 프로브(12)의 후단에, 프로브 내의 안테나(15)에 잘못 들어오는 과대한 플라즈마 생성용 고주파파워를 저지하는 파워 리미터(power limiter, 24)를 설치한 구성의 것을 변형예로서 들 수 있다. 특히 플라즈마(PM)가 갑자기 소멸된 때에는 플라즈마 생성용 고주파파워가 안테나(15)에 완전히 실리게 되어 프로브제어부(13)가 파괴될 우려가 있다. 파워 리미터(24)로 일정 이상으로 과대하게 잘못 들어오는 고주파파워가 프로브제어부(13)에 유입되는 것을 저지하여, 프로브제어부(13)의 파괴를 방지하는 것이다.
파워 리미터(24)를 대신해서 릴레이형 동축스위치나 반도체형 전자스위치 등의 스위치(도시생략)를 사용하여도 된다. 스위치는 수동으로 온·오프시키는 구성도 좋지만, 역입(逆入)하는 고주파파워가 일정 이상(예컨대 공급고주파파워의 1.2배)으로 된 것을 검출하여, 자동적으로 스위치를 오프로 하거나, 또는 플라즈마광을 광센서로 모니터하여 놓아, 플라즈마광이 꺼진 것을 검출하여 자동적으로 스위치를 오프하도록 하는 것 같은 구성도 프로브제어부(13)의 파괴방지에는 유효하다.
(11) 상기 실시예에 있어서, 측정용 프로브(12)가 플라즈마(PM)가 생성되는 채임버(1)에 진퇴 가능하게 삽입되어 있음과 동시에, 비측정시는 측정용 프로브(12)의 선단이 채임버(1) 내의 측정위치로부터 채임버(1) 벽면부근의 퇴피위치로 인입되도록 측정용 프로브(12)를 이동시키는 프로브이동수단을 갖추고 있는 구성의 것을 변형예로서 들 수 있다. 측정용 프로브(12) 표면에 오염이 두텁게 퇴적되는 것 같은 플라즈마(PM)라도 오염이 적어지는 결과, 측정용 프로브(12)의 수명이 길게 된다.
구체적으로 도 12에 도시한 바와 같이, 측정용 프로브(12)에 가동편(25)을 일체적으로 설치함과 동시에, 가동편(25)을 이송나사막대(26)에 나사결합하여, 모터(27)의 회전에 따라 이송나사막대(26)가 회전함에 의해 가동편(25)이 측정용 프로브(12)의 길이방향으로 왕복 이동하도록 구성한다. 비측정시는 도 12에 실선으로 나타난 바와 같이 측정용 프로브(12)의 선단을 채임버(1) 벽면부근의 퇴피위치로 인입하며, 측정시는 도 12에 일점쇄선으로 나타난 바와 같이 측정용 프로브(12)의 선단을 채임버(1) 내의 측정위치로 진출시키도록 모터(27)를 제어한다.
(12) 상기 실시예의 경우 동축케이블(16)의 심선(16a)과 쉴드선(16b) 사이의 절연재(16c)가 불소계 수지 등이지만, 도 13에 도시한 바와 같이 심선(16a)과 쉴드용 도체제 튜브(16e) 사이를 메우는 절연재(16d)가 열에 강한(절연)세라믹인 구성의 것을 변형예로서 들 수 있다. 이 경우 동축케이블(16)의 내열성이 향상된다.
(13) 다른 실시예의 측정용 프로브(12)에 있어서, 도 14에 도시한 바와 같이 유전체제 튜브(14)는, 측정영역이 미피복상태가 되도록 하여 튜브표면이 금속막(28)으로 덮여 있다. 즉 금속막(28)은 측정영역에 닿는 곳이 파여 있어 창(28a)으로 되어있는 것이다. 고주파파워는 금속막(28)이 있는 곳으로는 진입하지 않고 창(28a)이 있는 곳으로 진출할 수 있을 뿐이기 때문에, 금속막(28)으로 피복되어 있지 않은 측정 영역의 국부적 상황이 측정결과에 잘 반영되는 결과 공간분해능력을 향상시킨다.
(14) 게다가 다른 실시예의 측정용 프로브(12)에 있어서, 도 15에 나타난 바와 같이 루프 안테나(15)를 대신하여 선상 안테나(15a)가 사용되고 있음과 동시에, 선상 안테나(15a)가 유전체제 튜브(14)의 내면에 근접하여 따르도록 연장되어 있으면, 고주파파워가 효율 좋게 공급되어 필요한 고주파파워가 적게 되어, 측정정밀도가 향상되는 등의 이점이 있다. 루프 안테나(15)에서도 튜브(14)의 내면에 근접하여 따르도록 연장되면, 역시 고주파파워가 효율 좋게 공급된다.
본 발명은 그 사상 또는 본질로부터 벗어나지 않고 다른 구체적인 형태로 실시할 수 있으므로, 발명의 범위를 나타내는 것으로서 이상의 설명이 아닌 부가되는 청구항을 참조하여야 한다.
이상 설명한 바와 같이 본 발명에 의하면, 플라즈마밀도정보를 장기간에 걸쳐 간단히 측정할 수 있는 플라즈마밀도정보측정방법, 플라즈마밀도정보측정용 프로브 및 플라즈마밀도정보측정장치를 제공할 수 있다.

Claims (19)

  1. 플라즈마밀도정보 측정방법으로서, 이하의 과정을 포함한다:
    플라즈마에 고주파파워를 공급하는 과정;
    플라즈마부하에 의한 고주파파워의 반사 또는 흡수상황을 나타내는 물리량을 측정하는 과정;
    상기 물리량의 측정결과에 기초하여, 플라즈마밀도에 기인하여 고주파파워의 강한 흡수가 일어나는 주파수, 즉 플라즈마흡수주파수를 구하는 과정.
  2. 제1항에 있어서, 유전체제 격벽(誘電體製 隔璧)을 통해 플라즈마에 고주파파워를 공급하는 플라즈마밀도정보 측정방법.
  3. 제1항에 있어서, 플라즈마부하에 의한 고주파파워의 반사 또는 흡수상황을 나타내는 물리량의 측정은, 고주파파워공급용 고주파앰플리파이어 전류량의 계측에 의해 이루어지는 플라즈마밀도정보 측정방법.
  4. 제1항에 있어서, 고주파파워의 주파수를 소인(掃引)하면서 고주파파워의 반사량을 검출함과 동시에, 소인주파수와 고주파파워 반사량의 검출결과의 대응관계에 근거하여 플라즈마흡수주파수를 구하는 플라즈마밀도정보 측정방법.
  5. 제1항에 있어서, 플라즈마흡수주파수로서, 플라즈마표면파공명주파수를 구하는 플라즈마밀도정보 측정방법.
  6. 제5항에 있어서, 상기 구해진 플라즈마표면파공명주파수에 의해 측정대상인 플라즈마 중의 전자밀도를 산출하는 플라즈마밀도정보 측정방법.
  7. 제1항에 있어서, 플라즈마흡수주파수로서, Tonks-Dattner 공명주파수를 구하는 플라즈마밀도정보 측정방법.
  8. 플라즈마밀도정보측정에 사용되는 프로브(probe)는 이하의 요소를 포함한다:
    선단이 막혀 있는 유전체제 튜브;
    상기 튜브의 선단측에 수용되어 고주파파워를 방사하는 안테나;
    상기 튜브의 뒤쪽에 수용되며 안테나에 접속되어 있는 고주파파워전송용 케이블.
  9. 제8항에 있어서, 유전체제 튜브에 수납된 안테나 및 케이블이, 튜브의 길이방향을 따라 이동 가능하게 되어있어, 튜브 내에서의 안테나 위치가 변경될 수 있도록 구성되어 있는 플라즈마밀도정보측정용 프로브.
  10. 제8항에 있어서, 안테나로부터의 방출전자파의 누설을 방지하기 위한 도체가 케이블과 튜브 내면과의 간극을 막도록 하여 안테나의 약간 앞쪽 위치에 설치되어 있는 플라즈마밀도정보측정용 프로브.
  11. 제8항에 있어서, 프로브를 강제적으로 냉각하는 프로브냉각수단이 설치되어 있는 플라즈마밀도정보측정용 프로브.
  12. 제8항에 있어서, 고주파파워 전송용 케이블이, 심선(芯線)과 쉴드용의 도체제튜브와, 심선·도체제튜브 사이의 간극을 메우는 절연용 세라믹재로 이루어지는 플라즈마밀도정보측정용 프로브.
  13. 제8항에 있어서, 유전체제 튜브는, 측정 영역은 미피복상태가 되도록 하여 튜브표면이 금속으로 피복되어 있는 플라즈마밀도정보측정용 프로브.
  14. 제8항에 있어서, 안테나가 유전체제 튜브 내면에 근접하여 따르도록 연장되어 있는 플라즈마밀도정보측정용 프로브.
  15. 플라즈마밀도정보를 측정하는 장치는 이하의 요소를 포함한다:
    플라즈마에 고주파파워를 주파수 소인하면서 공급하는 주파수소인식 고주파파워공급수단;
    상기 고주파파워의 반사량을 검출하는 반사파워량검출수단;
    상기 고주파파워의 소인주파수 및 고주파파워의 반사량검출결과에 기초하여 고주파파워 반사율의 대(對)주파수변화를 구하는 파워반사율주파수특성검출수단.
  16. 제15항에 있어서, 상기 장치는, 플라즈마와 주파수소인식 고주파파워공급수단과의 사이에 개재되는 유전체제 격벽을 구비하고 있는 플라즈마밀도정보 측정장치.
  17. 제16항에 있어서, 상기 장치는 선단이 밀폐되어 있는 유전체제 튜브와, 상기 튜브의 선단측에 수용되어 고주파파워를 방사하는 안테나와, 상기튜브의 뒤쪽에 수용되어 안테나에 접속되어 있는 고주파파워전송용 케이블을 포함하는 플라즈마밀도정보측정용 프로브를 갖추며,
    상기 유전체제 튜브의 관벽을 유전체제 격벽으로 하여 튜브 내의 안테나로부터 플라즈마로 고주파파워가 공급되도록 구성되어 있음과 동시에,
    상기 유전체제 튜브에는 복수의 안테나가 튜브의 선단으로부터의 거리가 각각 다르도록 수용되어 있으며,
    파워반사율주파수특성검출수단이, 고주파수파워반사율의 대주파수변화를 각 안테나마다에 구함과 동시에, 각 대주파수변화에 있어서 동일 주파수위치에 나타나는 플라즈마흡수주파수를 플라즈마표면파공명주파수로 하여 구하도록 구성되어 있는 플라즈마밀도정보 측정장치.
  18. 제17항에 있어서, 플라즈마밀도정보측정용 프로브가 플라즈마 생성용 채임버에 진퇴 가능하게 삽입되어 있는 동시에, 비측정시는 프로브의 선단이 채임버 내의 측정위치로부터 채임버 벽면부근의 퇴피위치로 인입(引入)되도록 프로브를 이동시키는 프로브이동수단을 갖추고 있는 플라즈마밀도정보 측정장치.
  19. 제17항에 있어서, 플라즈마밀도정보측정용 프로브의 후단에, 프로브 내의 안테나에 잘못 들어오는 과대한 플라즈마생성용 고주파파워를 저지하는 보호수단이 설치되어 있는 플라즈마밀도정보 측정장치.
KR1019990028921A 1998-07-23 1999-07-16 플라즈마밀도정보측정방법,측정에쓰이는프로브및플라즈마밀도정보측정장치 KR20000011784A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP98-208129 1998-07-23
JP20812998 1998-07-23
JP05863699A JP3497092B2 (ja) 1998-07-23 1999-03-05 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
JP99-058636 1999-03-05

Publications (1)

Publication Number Publication Date
KR20000011784A true KR20000011784A (ko) 2000-02-25

Family

ID=26399665

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990028921A KR20000011784A (ko) 1998-07-23 1999-07-16 플라즈마밀도정보측정방법,측정에쓰이는프로브및플라즈마밀도정보측정장치

Country Status (4)

Country Link
US (2) US6339297B1 (ko)
EP (1) EP0975006A3 (ko)
JP (1) JP3497092B2 (ko)
KR (1) KR20000011784A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200136317A (ko) * 2019-05-27 2020-12-07 도쿄엘렉트론가부시키가이샤 플라스마 밀도 모니터, 플라스마 처리 장치, 및 플라스마 처리 방법
KR20200140711A (ko) * 2019-06-07 2020-12-16 도쿄엘렉트론가부시키가이샤 플라스마 전계 모니터, 플라스마 처리 장치, 및 플라스마 처리 방법

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4619468B2 (ja) * 1999-03-25 2011-01-26 株式会社東芝 プラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置
US6646386B1 (en) * 1999-07-20 2003-11-11 Tokyo Electron Limited Stabilized oscillator circuit for plasma density measurement
US6741944B1 (en) * 1999-07-20 2004-05-25 Tokyo Electron Limited Electron density measurement and plasma process control system using a microwave oscillator locked to an open resonator containing the plasma
US6861844B1 (en) 1999-07-21 2005-03-01 Tokyo Electron Limited Electron density measurement and plasma process control system using changes in the resonant frequency of an open resonator containing the plasma
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US6833710B2 (en) * 2000-10-27 2004-12-21 Axcelis Technologies, Inc. Probe assembly for detecting an ion in a plasma generated in an ion source
US6559650B2 (en) * 2001-06-05 2003-05-06 Eni Technology, Inc. RF power probe head with a thermally conductive bushing
CN1249401C (zh) * 2001-10-24 2006-04-05 东京电子株式会社 用于壁膜监测的方法与设备
KR100458328B1 (ko) * 2002-03-27 2004-11-26 주성엔지니어링(주) 플라즈마 감지장치
US6819052B2 (en) * 2002-05-31 2004-11-16 Nagano Japan Radio Co., Ltd. Coaxial type impedance matching device and impedance detecting method for plasma generation
US6864636B1 (en) * 2002-07-25 2005-03-08 Mark J. Hagmann Apparatus, method, and system for a laser-assisted field emission microwave signal generator
JP4099074B2 (ja) * 2003-01-27 2008-06-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
JP5404984B2 (ja) * 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
KR100473794B1 (ko) * 2003-07-23 2005-03-14 한국표준과학연구원 플라즈마 전자밀도 측정 및 모니터링 장치
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US20050188922A1 (en) * 2004-02-26 2005-09-01 Tokyo Electron Limited. Plasma processing unit
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050284570A1 (en) * 2004-06-24 2005-12-29 Doran Daniel B Diagnostic plasma measurement device having patterned sensors and features
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060043063A1 (en) * 2004-09-02 2006-03-02 Mahoney Leonard J Electrically floating diagnostic plasma probe with ion property sensors
FR2876536B1 (fr) * 2004-10-07 2007-01-26 Ecole Polytechnique Etablissem Dispositif et procede de caracterisation de plasma
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060166376A1 (en) * 2005-01-21 2006-07-27 Craig Alan R Compositions for use as a signal generation component and methods of using same
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7477711B2 (en) * 2005-05-19 2009-01-13 Mks Instruments, Inc. Synchronous undersampling for high-frequency voltage and current measurements
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
JP4701408B2 (ja) 2005-08-31 2011-06-15 国立大学法人名古屋大学 プラズマ電子密度測定用の面状共振素子並びにプラズマ電子密度測定方法及び装置
US20070074811A1 (en) * 2005-09-30 2007-04-05 Paul Moroz Method and apparatus for measuring plasma density in processing reactors using a long dielectric tube
US20070075036A1 (en) * 2005-09-30 2007-04-05 Paul Moroz Method and apparatus for measuring plasma density in processing reactors using a short dielectric cap
US20070074812A1 (en) * 2005-09-30 2007-04-05 Andrej Mitrovic Temperature control of plasma density probe
DE102006014106B3 (de) * 2006-03-24 2007-08-30 RUHR-UNIVERSITäT BOCHUM Vorrichtung und Verfahren zur Messung der Dichte eines Plasmas
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
JP5111914B2 (ja) * 2007-03-26 2013-01-09 Nuエコ・エンジニアリング株式会社 粒子密度測定プローブ及び粒子密度測定装置
US20090005772A1 (en) * 2007-06-28 2009-01-01 Rhytec Limited Tissue treatment apparatus
JP5026916B2 (ja) * 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
EP2299922B1 (en) * 2008-05-30 2016-11-09 Colorado State University Research Foundation Apparatus for generating plasma
US7982187B2 (en) * 2008-10-14 2011-07-19 De Gorordo Alvaro Garcia Method and apparatus for photon-assisted evaluation of a plasma
US9376754B2 (en) * 2009-02-12 2016-06-28 Mitsui Engineering & Shipbuilding Thin film forming method
JP5478924B2 (ja) * 2009-03-29 2014-04-23 学校法人中部大学 高圧力プラズマの電子密度および/または電子衝突周波数測定が可能な測定方法及び測定装置
IES20090733A2 (en) 2009-09-22 2011-03-30 Donal O'sullivan Sensor for measuring plasma parameters
DE102010055799B3 (de) * 2010-10-06 2016-10-06 RUHR-UNIVERSITäT BOCHUM Vorrichtung und Verwendung der Vorrichtung zur Messung der Dichte und/oder der Elektronentemperatur und/oder der Stoßfrequenz eines Plasmas
JP6097097B2 (ja) * 2013-03-04 2017-03-15 学校法人中部大学 プラズマ状態測定プローブ及びプラズマ状態測定装置
DE102013010408A1 (de) * 2013-06-21 2014-12-24 Hq-Dielectrics Gmbh Verfahren und vorrichtung zum detektieren einer plasmazündung
US9835761B2 (en) * 2014-02-05 2017-12-05 The United States Of America, As Represented By The Secretary Of The Navy Active remote detection of radioactivity based on electromagnetic signatures
JP6388491B2 (ja) 2014-05-02 2018-09-12 三菱重工業株式会社 計測装置を備えたプラズマ発生装置及びプラズマ推進器
KR102417178B1 (ko) 2015-09-03 2022-07-05 삼성전자주식회사 마이크로파 탐침, 그 탐침을 구비한 플라즈마 모니터링 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
DE102018115389B3 (de) * 2018-06-26 2019-08-14 RUHR-UNIVERSITäT BOCHUM Sonde zur Messung von Plasmaparametern
DE102020115056A1 (de) 2020-06-05 2021-12-09 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Messsonde zum Messen von für ein Plasma charakteristischen Größen
US11515150B2 (en) 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
KR102340564B1 (ko) 2021-02-19 2021-12-20 한국표준과학연구원 플라즈마 이온 밀도 측정 장치와 이를 이용한 플라즈마 진단 장치
CN115002996B (zh) * 2022-06-15 2023-11-10 哈尔滨工业大学 一种适用于临近空间高气压强碰撞宽范围等离子体密度测量的三探针诊断系统及其使用方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03230461A (ja) * 1990-02-02 1991-10-14 Seiko Epson Corp プラズマ計測法
JPH06215893A (ja) * 1993-01-15 1994-08-05 Tadahiro Omi 高周波励起プラズマの計測装置
JPH07169590A (ja) * 1993-09-16 1995-07-04 Fujitsu Ltd 電子密度の測定方法及びその装置及び電子密度の制御装置及びプラズマ処理装置
JPH08222396A (ja) * 1994-12-21 1996-08-30 Adolph Slaby Inst Forsch G Fuer Plasmatechnol & Mikrostrukturierung Mbh 絶対プラズマパラメータを決定する方法およびその装置
JPH08255696A (ja) * 1995-03-17 1996-10-01 Mitsubishi Heavy Ind Ltd プラズマ診断装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5111111A (en) * 1990-09-27 1992-05-05 Consortium For Surface Processing, Inc. Method and apparatus for coupling a microwave source in an electron cyclotron resonance system
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US5841237A (en) * 1997-07-14 1998-11-24 Lockheed Martin Energy Research Corporation Production of large resonant plasma volumes in microwave electron cyclotron resonance ion sources
JP4130255B2 (ja) * 1998-04-08 2008-08-06 キヤノンアネルバ株式会社 プラズマ処理装置
US6441552B1 (en) * 1998-04-30 2002-08-27 Physical Sciences Inc. Apparatus and methods for generating persistent ionization plasmas
JP3497091B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ生成用高周波パワーの制御方法、およびプラズマ発生装置
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03230461A (ja) * 1990-02-02 1991-10-14 Seiko Epson Corp プラズマ計測法
JPH06215893A (ja) * 1993-01-15 1994-08-05 Tadahiro Omi 高周波励起プラズマの計測装置
JPH07169590A (ja) * 1993-09-16 1995-07-04 Fujitsu Ltd 電子密度の測定方法及びその装置及び電子密度の制御装置及びプラズマ処理装置
JPH08222396A (ja) * 1994-12-21 1996-08-30 Adolph Slaby Inst Forsch G Fuer Plasmatechnol & Mikrostrukturierung Mbh 絶対プラズマパラメータを決定する方法およびその装置
JPH08255696A (ja) * 1995-03-17 1996-10-01 Mitsubishi Heavy Ind Ltd プラズマ診断装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200136317A (ko) * 2019-05-27 2020-12-07 도쿄엘렉트론가부시키가이샤 플라스마 밀도 모니터, 플라스마 처리 장치, 및 플라스마 처리 방법
KR20200140711A (ko) * 2019-06-07 2020-12-16 도쿄엘렉트론가부시키가이샤 플라스마 전계 모니터, 플라스마 처리 장치, 및 플라스마 처리 방법

Also Published As

Publication number Publication date
US20020047543A1 (en) 2002-04-25
JP2000100599A (ja) 2000-04-07
US6339297B1 (en) 2002-01-15
US6744211B2 (en) 2004-06-01
JP3497092B2 (ja) 2004-02-16
EP0975006A3 (en) 2001-03-07
EP0975006A2 (en) 2000-01-26

Similar Documents

Publication Publication Date Title
KR20000011784A (ko) 플라즈마밀도정보측정방법,측정에쓰이는프로브및플라즈마밀도정보측정장치
KR100702974B1 (ko) 플라즈마 생성용 고주파파워의 제어방법 및 플라즈마 발생장치
JP5404984B2 (ja) プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US5273610A (en) Apparatus and method for determining power in plasma processing
US5082517A (en) Plasma density controller for semiconductor device processing equipment
KR100473794B1 (ko) 플라즈마 전자밀도 측정 및 모니터링 장치
US7102292B2 (en) Method and device for removing harmonics in semiconductor plasma processing systems
KR20100004065A (ko) 플라즈마처리장치 및 플라즈마처리방법
US20080000585A1 (en) Apparatus for monitoring electron density and electron temperature of plasma and method thereof
KR101225011B1 (ko) 공진 구조체를 이용한 초고주파 프로브
KR20200136317A (ko) 플라스마 밀도 모니터, 플라스마 처리 장치, 및 플라스마 처리 방법
JP4619468B2 (ja) プラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置
JP4022902B2 (ja) プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
JP3838481B2 (ja) プラズマ密度情報測定方法及びその装置並びにプラズマ密度情報測定用プローブ、プラズマ発生方法及びその装置、プラズマ処理方法及びその装置
JP3688173B2 (ja) プラズマ密度情報測定用プローブ
Absalan et al. Effect of discharge conditions on the sputtering and spatial distribution of atoms in a radiofrequency glow discharge atomizer for atomic absorption spectrometry
US20040173758A1 (en) Ion source and ion beam device
JP2003332319A (ja) プラズマ処理装置及び処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application